邱邱工程师 在 2008-04-15 00:36:12 上传
说明:基于ATmega16L单片机的抢答器设计(论文和源程序)
开发平台:WORD | 大小:722KB | 下载273次
zhuzi200803 在 2008-03-31 14:13:22 上传
说明: 许多比赛中,为了准确 、公正、直观判断出第一抢答者,通常设计一台抢答器。通过抢答器的数显、灯光和音响等手段指示第一抢答者。同时,还可以设置定时、记分、犯规以及奖惩等多功能
开发平台:WORD | 大小:99KB | 下载21次
yuanbaosong 在 2008-03-27 15:01:35 上传
说明:这是一个八路抢答器的汇编设计,含有完整的设计思路,流程图,设计步骤
开发平台:DOS | 大小:40KB | 下载79次
flash890 在 2008-03-25 19:24:16 上传
说明:抢答器设计实验程序,实现了将达的效果,实验人可以控制
开发平台:VHDL | 大小:1965KB | 下载4次
desert.catalina 在 2008-03-24 14:31:03 上传
说明:抢答器。可以直接用QUARTUS2运行,解压无需密码。以前我们做实验的时候用的这个
开发平台:VHDL | 大小:249KB | 下载229次
yuanyuan800800 在 2008-03-20 12:50:40 上传
说明:具有定时功能的八路数显抢答器的设计 本文介绍了一种用74系列常用集成电路设计的数码显示八路抢答器的电路组成、设计思路及功能。该抢答器除具有基本的抢答功能外,还具有定时、计时和报警功能。主持人通过时间预设开关预设供抢答的时间,系统将完成自动倒计时。若在规定的时间内有人抢答,则计时将自动停止;若在规定的时间内无人抢答,则系统中的蜂鸣器将发响,提示主持人本轮抢答无效,实现报警功能。
开发平台:Others | 大小:122KB | 下载992次
yuanyuan800800 在 2008-03-20 12:48:45 上传
说明: 设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,他们的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是So、S1、S2、S3、S4、S5、S6、S7。 ② 给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 ③ 抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时蜂鸣器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 • 扩展功能
开发平台:Asm | 大小:4KB | 下载380次
yuanyuan800800 在 2008-03-20 12:44:20 上传
说明:模拟抢答器工作:抢答开始后,显示各抢答输入的时间,将最快抢答标识为红色并闪烁。当抢答成功后,即播放一小段乐曲,以示祝贺。 2 基本要求 1 具有8个抢答输入。 2 显示抢答剩余时间(初始10秒)。 3 显示抢答成功者。 3 扩展要求 1 抢答成功后,有声音提示。 2 时间分辨率小于10ms。 3 完善其它自定义功能。
开发平台:Asm | 大小:2KB | 下载158次
yuanyuan800800 在 2008-03-20 12:41:49 上传
说明: 1 任务 模拟抢答器工作:抢答开始后,显示各抢答输入的时间,将最快抢答标识为红色并闪烁。当抢答成功后,即播放一小段乐曲,以示祝贺。 2 基本要求 1 具有8个抢答输入。 2 显示抢答剩余时间(初始10秒)。 3 显示抢答成功者。 3 扩展要求 1 抢答成功后,有声音提示。 2 时间分辨率小于10ms。 3 完善其它自定义功能。
开发平台:Asm | 大小:1KB | 下载93次
yuanyuan800800 在 2008-03-20 12:38:10 上传
说明:题目10 抢答器控制模拟程序设计 1 任务 模拟抢答器工作:抢答开始后,显示各抢答输入的时间,将最快抢答标识为红色并闪烁。当抢答成功后,即播放一小段乐曲,以示祝贺。 2 基本要求 1 具有8个抢答输入。 2 显示抢答剩余时间(初始10秒)。 3 显示抢答成功者。 3 扩展要求 1 抢答成功后,有声音提示。 2 时间分辨率小于10ms。 3 完善其它自定义功能。
开发平台:Asm | 大小:2KB | 下载135次
jdk963 在 2008-03-19 22:21:17 上传
说明:基于单片机的16路抢答器,动态数码管显示时间和编号,蜂鸣器报警
开发平台:C/C++ | 大小:1KB | 下载33次
Johnny007 在 2008-03-19 19:55:51 上传
说明:智力抢答器: 1、竞赛开始时,主持人接通启动/停止开关(SA),指示灯HL1亮。 2、主持人按下开始抢答按钮(SB0)后,如果在10S内无人抢答,则指示灯HL2亮,表示抢答器自动撤销此次抢答.如果10S内有人抢答(按下按钮SB3,SB4,SB5),则最先按下抢答按钮的信号有效,相应抢答桌的抢答灯(HL1,HL2,HL3)亮。 3、当主持人确认抢答有效后,按下答题计时按钮(SB6),抢答桌上的抢答灯灭,计时开始,计时时间到(1minute),抢答桌上的抢答灯再次亮。 4、如果抢答者在规定的时间内正确的回答问题,主持人按下加分按钮,抢答桌上的抢答红灯快速闪烁(0.3s ON,0.3s OFF)。如果抢答者在规定的时间内回答问题不正确或计时时间到还回答不出问题,主持人按下减分按钮,抢答桌上的抢答绿灯(HL4、HL6、HL8)闪烁(0.3s ON,0.3s OFF)。
开发平台:Others | 大小:85KB | 下载199次
wangyuan1010 在 2008-03-10 11:03:42 上传
说明:时序电路——抢答器,K1、K2、K3、K4各控制一个按钮,DJ代表主持人,在抢答开始前,DJ先按一下按钮,然后在开始比赛,K1—K4中任意按下按钮后,其他钮按下均无效,重新比赛时,DJ需要再按一下按钮。抢答结果用LED显示。
开发平台:Others | 大小:95KB | 下载62次
kouzhongss 在 2008-02-24 14:59:56 上传
说明:我设计的是八路抢答器,程序好用可靠。给大家分享
开发平台:C/C++ | 大小:28KB | 下载65次
sunxiong0 在 2008-01-17 09:43:31 上传
说明:8路竞赛抢答器,主要实现8人抢答一个题目,并且主持人可以控制
开发平台:Asm | 大小:1KB | 下载32次
ruiomar 在 2008-01-14 12:28:30 上传
说明: 求(汇编语言课程设计)八位数字抢答器
开发平台:Asm | 大小:1KB | 下载16次
smily320 在 2008-01-09 07:44:08 上传
说明:两人抢答器,有抢答,倒计时,记分,响铃功能.
开发平台:Others | 大小:43KB | 下载17次
管理员 在 2008-01-08 18:27:40 上传
说明:八位抢答器,很好用的
开发平台:Others | 大小:108KB | 下载17次
jyxmsx 在 2008-01-08 13:38:17 上传
说明:题目:抢答器控制模拟程序设计 任务: 用汇编语言模拟设计一抢答器工作的程序。,该抢答器。抢答开始后,显示各抢答输入的时间,并将最快抢答标识为红色,且闪烁。当抢答成功后,即播放一小段乐曲,以示祝贺。时间分辨率不低于10。 抢答输入由计算机键盘输入。 基本要求: 1. 具有8个抢答输入。 2. 显示抢答剩余时间(初始10秒)。 3. 显示抢答成功者。 扩展要求: 1. 抢答成功后,有声音提示。 2. 时间分辨率小于10ms。 3. 完善其它自定义功能。
开发平台:Visual C++ | 大小:1839KB | 下载58次
shyhy 在 2007-12-25 12:26:37 上传
说明:VB.net编程实例 抢答器的制作
开发平台:Visual Basic | 大小:583KB | 下载12次
pk666666 在 2007-12-22 23:43:43 上传
说明:八路定时抢答器的设计方案,就是一个课程设计!很实用的!
开发平台:DOS | 大小:304KB | 下载1490次
管理员 在 2007-12-18 17:21:35 上传
说明:用verilog实现的抢答器程序,在Quartus II上编译通过并成功运行
开发平台:MultiPlatform | 大小:186KB | 下载124次
aptx 在 2007-12-07 20:49:05 上传
说明:竞赛抢答器:当某组抢答按钮按下。在七段数码管上将其组号(0~7)显示出来,并使微机扬声器响一下。
开发平台:Asm | 大小:25KB | 下载75次
ty198686 在 2007-12-02 18:11:57 上传
说明:基于VHDL语言 智力抢答器的设计 本人的课程设计
开发平台:Others | 大小:478KB | 下载89次
管理员 在 2007-11-07 09:13:53 上传
说明:设计题目:8位数字抢答器的设计设计题目:8位数字抢答器的设计
开发平台:Others | 大小:9KB | 下载28次
遇见望风笑 在 2007-10-31 22:14:38 上传
说明:用VHDL设计的7人的抢答器,优点是代码简单,特别适合初学着作为练习和增强代码编写能力的练习,好处
开发平台:matlab | 大小:1KB | 下载28次
wobushini 在 2007-10-30 20:32:06 上传
说明:抢答器设计源程序。包括算法设计,具体实现,文档等完整结构体系。
开发平台:Visual C++ | 大小:8KB | 下载138次
hexuanjian 在 2007-10-20 15:35:11 上传
说明:PLC制作,实用抢答器。和电视台综艺节目上用是功能一致
开发平台:Others | 大小:2715KB | 下载57次
wzd215 在 2007-10-04 15:49:38 上传
说明:这是java写的一个抢答器,要用jb6打开
开发平台:Java | 大小:101KB | 下载14次