专克 在 2017-06-14 10:20:00 上传
说明:四路抢答器。首先通过主持人按下抢答按键代表抢答开始, 其他四人进行按键抢答,一个抢到后,其他人不可以进行操作。
开发平台:C/C++ | 大小:284KB | 下载7次
风一样的猿 在 2017-06-13 14:49:47 上传
说明:实现一个8人的抢答器,使用proteus设计,抢答器在生活中经常是会用到的,虽然看起来很简单,但是对于初学者来说,做出来的话是不是将会非常有成就感? 对于知识就是要学以致用,把他用到生活中来体现它的价值才是最好的。
开发平台:Proteus | 大小:64KB | 下载3次
在 2017-05-22 17:43:57 上传
说明:basys2实现抢答器,Verilog描述语言,实现4人抢答器,功能已验证
开发平台:Others | 大小:1KB | 下载8次
MPGL 在 2017-05-21 16:11:32 上传
说明:4人抢答器,计时器和抢答器综合,开始抢答时,计时器从20s开始倒计时,如果无人抢答,计时器到0时报警器响3s,有人抢答,数码管会显示第几人抢答。
开发平台:VHDL | 大小:3320KB | 下载3次
龙游天下 在 2017-04-28 11:14:22 上传
说明:实现一个4路抢答器,记录各自的抢答次数,以及裁判对个自的打分
开发平台:Proteus | 大小:62KB | 下载0次
小马哥_go 在 2017-01-11 18:02:27 上传
说明: 数字式竞赛抢答器 设计一个可容纳四组参赛者同时抢答的数字抢答器 1.能判断第一抢答者并报警指示抢答成功,其他组抢答均无效 2.设计倒计时时钟,若提前抢答则对相应的抢答组发出警报
开发平台:VHDL | 大小:5372KB | 下载8次
彩笔蜡笔 在 2016-12-15 14:58:13 上传
说明:抢答器,六路的,简单的,有兴趣的可以下载
开发平台:VHDL | 大小:24KB | 下载1次
落叶123秋风 在 2016-05-13 17:20:03 上传
说明:自己写的八路抢答器程序,已经做成电路板测试通过,用12864显示,抢答和回答时间可调。
开发平台:C51 | 大小:107KB | 下载2次
Bonbon 在 2016-03-01 15:24:44 上传
说明:用于51单片机开发及课程设计,单片机8路抢答器。有程序和原理图。
开发平台:C/C++ | 大小:28KB | 下载4次
ludovicsky 在 2016-01-21 13:07:02 上传
说明:八路选手抢答器,数码管显示,蜂鸣器报警。
开发平台:Asm | 大小:2KB | 下载1次
小白球 在 2015-11-06 21:20:11 上传
说明:八路抢答器该八路抢答器用于8人及8人以下的抢答比赛中,本抢答器实用可靠,采用数码显示器显示抢答编号,具有数码锁存,优先抢答及规定时间内抢答有效的功能。
开发平台:Visual C++ | 大小:10KB | 下载1次
迪哥 在 2015-08-04 16:34:45 上传
说明:实现四路抢答,电路具有第一抢答信号的鉴别和锁存功能,在主持人将复位按钮按下后开始抢答,并用EDA实训仪上的八段数码管显示抢答者的序号,同时扬声器发出“嘟嘟”的响声,并维持3秒钟,此时电路自锁,不再接受其他选手的抢答信号。 一个计分电路,每组在开始时设置为100分,抢答后由主持人计分,答对一次加10分,答错一次减10分。 设计一个犯规电路,对提前抢答和超时抢答者鸣喇叭示警,并显示犯规的组别序号。
开发平台:Visual Basic.NET | 大小:1004KB | 下载6次
藤京乱 在 2015-05-12 20:45:26 上传
说明:基于VHDL语言的抢答器,包括锁存、显示、复位、控制等等各种模块。
开发平台:VHDL | 大小:404KB | 下载1次
frombegin 在 2015-04-18 10:17:59 上传
说明:六路抢答器,保证抢答模块绝对一输出,无后门。
开发平台:VHDL | 大小:443KB | 下载4次
k329266978 在 2015-03-25 23:11:03 上传
说明:提供一个比赛抢答器,有判断对错、修改分数的功能。并且,有分数显示环节
开发平台:C/C++ | 大小:18KB | 下载1次
yeyulinwse 在 2015-03-04 17:40:16 上传
说明:51单片机控制的抢答器设计,支持6路抢答,数码管显示抢答状态,蜂鸣器提示抢答动作
开发平台:C/C++ | 大小:24KB | 下载4次
ylxylx000111 在 2015-01-18 21:01:34 上传
说明:代码是多路抢答器,抢答精度极高,程序简单
开发平台:VHDL | 大小:711KB | 下载1次
gongpeng192 在 2014-12-14 22:01:45 上传
说明:抢答器 51单片机 带上位机 stc89c52
开发平台:C/C++ | 大小:1045KB | 下载9次
SOFIAYCZ 在 2014-09-16 09:22:55 上传
说明:运用VHDL语言实现四路智力竞赛抢答器。抢答器的主要功能模块是是:1、对第一抢答信号的鉴别和锁存功能;2、计分功能。3、数码显示  ;4、答题限时功能。在本设计主要讲述抢答、计分和警告的功能。
开发平台:VHDL | 大小:257KB | 下载4次
feifei1105 在 2014-07-17 10:29:35 上传
说明:一个简单实用的基于单片机的五路抢答器程序,可以在此基础上开发,更多路的抢答器,和小伙伴们分享了。
开发平台:C/C++ | 大小:16KB | 下载1次
hkdxjx 在 2014-06-17 10:49:36 上传
说明:抢答器设计 设计要求: 抢答器的抢答路数为6路; 设置一个系统清除和抢答控制开关s,开关由主持人控制; 抢答器具有定时抢答功能:且一次抢答时间由主持人设定为30秒,当主持人启动开始按键后,定时器进行倒计时,并在数码管上显示计时间; 系统采用2个数码管显示,抢答启动后首先显示时间,当有人抢答时,显示抢答人员所对应的编号; 抢答器具备锁存与显示功能,当选手按下按钮时,锁存相应的编号,扬声器发生声响提示,并在数码管上显示该选手的编号,选手抢答实现优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。
开发平台:C/C++ | 大小:108KB | 下载4次
dapengzhizun 在 2014-05-07 22:30:12 上传
说明:实验一 交通灯控制实验 2 实验二 可编程定时器/计数器 8 实验三、可编程中断控制器 15 实验四 模/数转换器 A/DC0809 23 五、双机串行通讯 30 六、竞赛抢答器 39 汇编程序源码及电路原理
开发平台:Asm | 大小:806KB | 下载5次
1254634478 在 2014-05-02 23:48:09 上传
说明:数字式竞赛抢答器的VHDL程序及实验报告,本抢答器是最多可容纳5位参赛者的数字式抢答器,在QUARTUS II平台中进行了仿真
开发平台:VHDL | 大小:113KB | 下载5次
sadfdsafsaf 在 2014-04-02 15:26:48 上传
说明:进阶实验_02_抢答器 :抢答器,4路
开发平台:VHDL | 大小:934KB | 下载2次
hapoy9 在 2014-03-27 11:29:08 上传
说明:八人抢答器,利用51单片机进行实现,可以实现八人一下抢答功能,报警显示功能
开发平台:Asm | 大小:1KB | 下载2次
zhhe14 在 2014-03-24 11:57:14 上传
说明:8路抢答器 8路抢答器 8路抢答器8路抢答器
开发平台:C/C++ | 大小:58KB | 下载4次
qinzhenfei 在 2014-03-18 19:23:29 上传
说明:基于51单片机的8路抢答器,1602显示。通过按键检测,使用定时器进行定时抢答。
开发平台:C/C++ | 大小:35KB | 下载2次
ylsoftwaredevelope 在 2014-03-13 21:22:36 上传
说明:基于verilog语言的六路抢答器设计代码,编译环境为quartus9.0,自己的一个课程设计,测试可用
开发平台:VHDL | 大小:1KB | 下载17次
飞翔的蜗牛仔 在 2014-01-11 23:12:51 上传
说明:四路抢答器,有四路的抢答,各路初始的分数,主持人按下抢答开关方可抢答,提前抢答则犯规,数码管显示各路的分数、20秒抢答倒计时,抢到路数,犯规路数和抢答、犯规声响等加减分有主持人控制
开发平台:VHDL | 大小:235KB | 下载1次
louis_lj 在 2014-01-07 21:16:44 上传
说明:设计一款基于VHDL的四人抢答器的小程序,按键按下开始抢答,首先按下的键值被数码管显示出来,与此同时,其它按键失去抢答作用。
开发平台:VHDL | 大小:249KB | 下载4次