Coum 在 2018-01-08 01:24:49 上传
说明:1. 支持递增/递减/增减可配置 2. 支持计数器使能可配置 3. 支持8位计数器
开发平台:WINDOWS | 大小:24KB | 下载2次
NekoSAMA 在 2017-12-23 22:34:58 上传
说明:定义一个类,类名为CounterType。这个类的对象用于计数,记录一个非负的整数。为该类写一个默认构造函数,它能将计数器置0;再写一个构造函数,它接收一个参数,用于将计数器设为由参数指定的值。编写两个成员函数,分别使计数能够递增1和递减1。确保任何成员函数都不允许计数器为负值。成员函数void reset( );能在任何时候将计数器的值重置为0。编写两个成员函数,一个返回当前计数器的值,另一个将计数器的值输出到一个流,这个用于输出的函数有一个ostream类型的形式参数(被调用时,实际参数可以是cout)。考虑哪些成员函数定义为常成员函数。 定义主函数,测试这个类。
开发平台:C# | 大小:1KB | 下载3次
mjv93 在 2017-12-19 21:57:08 上传
说明:模拟工厂里的产品扫描计数器,其中S2用于模拟代替扫描开关,当有产品经过时,会使S2送出低电平(本程序中是用手按下S2来模拟)S2每按一下,便会使数码管上的计数器加一。S3用于对计数清零。
开发平台:C/C++ | 大小:15KB | 下载2次
wow111 在 2017-12-17 20:55:31 上传
说明:8位计数器,可以实现计数器的相关功能,内涵verilog文件和testbench文件
开发平台:Vivado | 大小:14KB | 下载5次
嘻嘻嘻1234 在 2017-11-30 10:44:06 上传
说明:基于basys2的模60计数器设计,语言verilog
开发平台:Verilog | 大小:10KB | 下载4次
小仙女。 在 2017-11-21 09:25:42 上传
说明:这是关于定时器计数器的综合使用的单片机源程序
开发平台:Visual C++ | 大小:23KB | 下载1次
L小玉 在 2017-10-26 21:46:28 上传
说明:利用定时器和计数器,实现LED不同状态的变化
开发平台:WINDOWS | 大小:41KB | 下载2次
李鹏飞QQGGJJJ 在 2017-10-19 11:14:51 上传
说明:简单的硬件描述语言verilog语言描述的128进制计数器。
开发平台:Verilog | 大小:1KB | 下载3次
小可爱呀 在 2017-10-06 09:35:08 上传
说明:利用T0实现对外部脉冲的计数,利用按键模拟产生外部脉冲。计数值为1,4,7……时,LED0亮,LED1灭;计数值为2,5,8……时,LED1亮,LED0灭;每满10次计数,蜂鸣器鸣叫。
开发平台:C/C++ | 大小:21KB | 下载1次
班五饼 在 2017-08-21 18:13:10 上传
说明:编码器计数,根据状态机原理,判断编码器所属状态。
开发平台:Verilog | 大小:1KB | 下载3次
adonis85101 在 2017-08-12 10:49:39 上传
说明:这是用verilog 实现的同步计数器。
开发平台:Verilog | 大小:6KB | 下载4次
吃萝卜的小牛 在 2017-08-08 14:12:01 上传
说明:Verilog实现计数器并送六位数码管实时显示
开发平台:Verilog | 大小:4310KB | 下载3次
未华 在 2017-07-27 12:59:26 上传
说明:前端CSS增量计数器,类似于密码滚动器,上下滚动
开发平台:JavaScript | 大小:58KB | 下载1次
何谓因你心醉 在 2017-07-22 09:31:44 上传
说明:程序可实验开发板上LED循环点亮,且可通过按键控制流动速度,用到了PLL IP 和 计数器模块。
开发平台:Verilog | 大小:7619KB | 下载3次
EmptyCity 在 2017-07-20 10:55:41 上传
说明:利用51单片机中的定时计数器模块实现定时计数功能
开发平台:C51 | 大小:41KB | 下载1次
辕门暮雪 在 2017-06-30 21:52:22 上传
说明:秒表代码加上相应的key,测试通过可以直接用于vivado
开发平台:VHDL | 大小:3KB | 下载1次
natalie 在 2017-03-14 14:23:03 上传
说明:基于8086汇编语言中使用8253A定时/计数器设计跑表。
开发平台:Proteus | 大小:452KB | 下载16次
NOBLETILL 在 2016-07-14 21:40:22 上传
说明:定时器计数器,基于AT80c51利用中断的定时器和计数器,文件包含hex文件,c文件,inp,LST,OBJ,M51,Opt文件,将hex载入仿真文件中即可仿真
开发平台:Visual C++ | 大小:19KB | 下载2次
qinqinzijian123 在 2016-06-04 14:51:42 上传
说明:实验六一位十进制计数器共阳极数码管,用共阳极管设计一个计数器
开发平台:MultiPlatform | 大小:118KB | 下载1次
sertreet 在 2016-06-02 14:03:50 上传
说明:51单片机双路计数器,两个外部中断计数,并用1602显示
开发平台:C51 | 大小:27KB | 下载5次
nanguo 在 2016-05-11 16:58:40 上传
说明:一种裁剪机用的电子计数器,实现了简单的计数器功能。
开发平台:C51 | 大小:213KB | 下载1次
shangzhaopeng1 在 2016-04-20 13:39:51 上传
说明:MCS-51系列单片机定时器/计数器的功能是用以实现定时、计数,并以定时、计数的结果进行控制。
开发平台:Visual C++ | 大小:2847KB | 下载2次
q6q7q86 在 2016-04-11 19:15:27 上传
说明:采用计数方式计数脉冲,利用系统节拍定时器生成100个脉冲,测试计数器功能
开发平台:Visual C++ | 大小:3KB | 下载1次
luhairong11 在 2015-07-02 10:42:11 上传
说明:基础实验_09_计数器 :摸4、8、16计数器各一
开发平台:VHDL | 大小:288KB | 下载1次
短发 在 2015-05-20 20:42:18 上传
说明:测频,定时器1作为待测频率计数器,由T1输入(P3.5) 定时器0作为标准时钟计数器计算频率,通过串口发送到PC,并在本机的LCD上显示
开发平台:Others | 大小:58KB | 下载1次
jzkdbxjg 在 2015-03-19 17:10:36 上传
说明:计数器,可以正向计数和倒向计数,可以暂停,开始,重置
开发平台:Java | 大小:567KB | 下载1次
qq798269468 在 2014-12-25 12:59:03 上传
说明:秒计数器,分计数器,时计数器,分频器,数字钟实验
开发平台:VHDL | 大小:287KB | 下载1次
正能量·羽 在 2014-09-24 17:15:44 上传
说明:16x64点阵LED计数器,显示当小时产量,总产量,完成率,共有两个计数通道
开发平台:C++ | 大小:112KB | 下载4次
sjtusst 在 2014-09-09 11:26:01 上传
说明:8通道计数器 8通道计数器 8通道计数器
开发平台:LabView | 大小:32KB | 下载2次