wqychina 在 2014-07-09 17:00:59 上传
说明:Matlab实现单击计数器 with Reset & counter
开发平台:matlab | 大小:8KB | 下载6次
zhangjiongjie 在 2014-06-18 12:55:50 上传
说明:本程序为计数器的基本驱动示例,计数器工作于方式1。 对按键S2按下的次数进行计数,当计数记到3时,第一个发光二极管被点亮。
开发平台:C/C++ | 大小:9KB | 下载4次
yepiaozhifeng 在 2014-05-29 17:30:31 上传
说明:本程序为计数器的基本驱动示例,计数器工作于方式1。对按键S2按下的次数进行计数,当计数记到3时,第一个发光二极管被点亮。
开发平台:Visual C++ | 大小:1KB | 下载2次
elapsesunshine 在 2014-05-16 15:36:10 上传
说明:加法计数器的设计 任意进制的计数器设计
开发平台:VHDL | 大小:3KB | 下载3次
心海星仰 在 2014-05-13 17:24:38 上传
说明:设计一个带计数使能、异步复位、异步装载、可逆计数的通用计数器。计数结果由七段数码管显示
开发平台:C++ Builder | 大小:20KB | 下载2次
1094670636 在 2014-03-26 14:15:18 上传
说明:对射式传感器计数器程序。外部中断接到信号,可以对传感器接到的信号进行计数。
开发平台:Visual C++ | 大小:682KB | 下载1次
no_user 在 2013-12-29 06:49:30 上传
说明:基于逻辑芯片地五位计数器的实验原理图,实现32个计数
开发平台:Visual C++ | 大小:5KB | 下载2次
magichqg 在 2013-12-01 10:19:57 上传
说明:设计的一个十进制的计数器,以J-K触发器为基本。以simulink为开发环境。
开发平台:matlab | 大小:12KB | 下载18次
gw168sh 在 2013-11-26 13:14:55 上传
说明:计数器,低电平触发计数。采用AT89C52,驱动数码管显示。 使用12M晶振。
开发平台:C/C++ | 大小:1KB | 下载2次
tembo09 在 2013-08-27 14:03:48 上传
说明:以51单片机为控制核心,驱动CC1100实现无线计数器功能设计,含计数器发送C51源码、计数器接收C51源码。
开发平台:Visual C++ | 大小:73KB | 下载3次
694058064 在 2013-08-18 11:18:21 上传
说明:由52控制的红外计数器,用来计数人流量,已测试可用
开发平台:C/C++ | 大小:12KB | 下载24次
syl2018 在 2013-04-28 07:24:25 上传
说明:简易计数器,计数到了自动归零,重新触发重新开始,很有用
开发平台:LabView | 大小:83KB | 下载19次
yangjunvv555 在 2013-03-29 13:16:18 上传
说明:三位LED显示0-999计数每秒加1计数器,利用89c52定时器0
开发平台:C/C++ | 大小:1KB | 下载2次
wwhong 在 2013-01-09 18:07:24 上传
说明:用STM32F103R8芯片设计的掉电保护的固态计数器代码,用于X手持机。在Keil uVision3 环境下开发。代码中有详细说明。
开发平台:Others | 大小:5045KB | 下载8次
zy12358 在 2012-12-29 17:32:37 上传
说明:里面包括 255计数器 50000计数器 asm源程序
开发平台:Asm | 大小:45KB | 下载2次
lskjiayou 在 2012-10-17 17:01:36 上传
说明:模拟工厂里的产品扫描计数器。 用TX-1C学习板上的S2和S3键。 其中S2用于模拟代替扫描开关,当有产品经过时,会使S2送出低电平(本程序中是用手按下S2来模拟) S2每按一下,便会使数码管上的计数器加一。 S3用于对计数清零。
开发平台:Objective-C | 大小:15KB | 下载4次
lzxin 在 2012-10-10 00:55:01 上传
说明:基于51系列单片机,计数器的使用,已实际范例加强对于计数器的学习应用
开发平台:Asm | 大小:17KB | 下载5次
hyzh90320 在 2012-09-08 19:56:31 上传
说明:公交车计数器,基于压力的公交车人数计数器,设计新颖,完整
开发平台:C/C++ | 大小:74KB | 下载6次
yzy12345 在 2012-09-03 22:42:16 上传
说明:可逆计数器 一个可逆的十进制计数器,控制端为1时加计数,为0时减计数
开发平台:VHDL | 大小:213KB | 下载4次
iamsimen 在 2012-08-10 02:29:01 上传
说明:51单片机计数器计数功能测试,区别于定时器的功能
开发平台:C/C++ | 大小:1KB | 下载16次
charleychb 在 2012-08-05 17:37:31 上传
说明:avr单片机内部epprom实现计数器加一
开发平台:C/C++ | 大小:18KB | 下载4次
12315210 在 2012-07-25 21:34:07 上传
说明:定时器计数器 科技算99999 定时器中断技术
开发平台:C/C++ | 大小:1KB | 下载4次
qingguozhi520 在 2012-06-12 00:36:13 上传
说明:定时/计数器实验.利用定时/计数器测量电动机的转速。
开发平台:Asm | 大小:1KB | 下载5次
yingqinmuran 在 2012-05-16 15:30:18 上传
说明:四位计数器四位计数器四位计数器四位计数器四位计数器四位计数器四位计数器
开发平台:Asm | 大小:61533KB | 下载4次
大大@小小 在 2012-04-12 20:43:39 上传
说明:定时器,计数器。用共阴极数码管显示计数。定时器中断控制计数脉冲的输出。计数器对该脉冲进行计数。
开发平台:C/C++ | 大小:1KB | 下载5次
gavenyueng 在 2012-04-11 20:18:41 上传
说明:关于PIC单片机的定时计数器的一端源程序。定时功能就是通过来自单片机内部的时钟脉冲作计数脉冲,使计数器计数,即每个机器周期计数器加1,计数值达到予置值后,定时/计数模块产生溢出。
开发平台:C++ Builder | 大小:9KB | 下载5次
zhangyunjust 在 2012-04-02 16:45:13 上传
说明:设计一个6进制递增计数器,计数信号通过K0产生并输入。计数结果利用试验仪上的数码管LED2显示。
开发平台:VHDL | 大小:97KB | 下载3次
uncommonljl 在 2012-03-13 15:18:36 上传
说明:温度变送器控制板PCB文件,两线制4-20mA
开发平台:Visual C++ | 大小:101KB | 下载47次
Trishali 在 2012-02-17 14:32:57 上传
说明:停车场计数器的设计,分别计数进入停车场的车辆数目和离开停车场的车辆数目。
开发平台:VHDL | 大小:374KB | 下载10次
1024803324 在 2012-02-12 22:12:20 上传
说明:00-99 计数器 00-99 计数器 00-99 计数器
开发平台:C/C++ | 大小:22KB | 下载4次