yjinjuny 在 2008-12-26 16:02:06 上传
说明:100位计数器,汇编语言实验,很精准的,计数从0到
开发平台:DOS | 大小:1KB | 下载4次
19871909lyj 在 2008-12-25 23:16:17 上传
说明:0-999计数器 单片机数码管显示
开发平台:Objective-C | 大小:125KB | 下载33次
java007hf 在 2008-12-22 14:54:18 上传
说明:用 proteus + keil 实现一个00-99计数器 里面用的是 AT89C51
开发平台:C/C++ | 大小:51KB | 下载23次
tj100 在 2008-12-10 09:45:58 上传
说明:相信很多人都可以做得一个计数器的程序,不论是用C#、VB、VC++等编程工具。在这里我所做的这个计数器是使用C#做的,效果可能可其他人所做的不一样。它主要的目的是通过等额还贷对买房子的客户分期付款的金额和要多少年限才能还清钱进行计算。
开发平台:Others | 大小:62KB | 下载5次
zhangzhikun1314 在 2008-11-27 16:42:06 上传
说明:数字电子时钟中,秒和分要求要有60进制计数器和24进制计数器,此为60进制计数器
开发平台:VHDL | 大小:1KB | 下载14次
Lyndon 在 2008-10-28 15:11:20 上传
说明:四位十进制频率计设计 包含测频控制器(TESTCTL),4位锁存器(REG4B),十进制计数器(CNT10)的原程序(vhd),波形文件(wmf ),包装后的元件(bsf)。顶层原理图文件(Block1.bdf)和波形。
开发平台:VHDL | 大小:11KB | 下载157次
halibing 在 2008-10-28 09:13:42 上传
说明:cnt6.bdf 六进制约翰逊计数器 counters.vhd 不同功能的简单计数器 count60.vhd 60进制计数器 count60.bdf 60进制计数器 counter_1024.vhd 8位二进制计数器 counter_1m.vhd 16位二进制计数器 counter.vhd N进制计数器
开发平台:VHDL | 大小:7KB | 下载97次
大兵12 在 2008-10-14 10:36:08 上传
说明:以C8051F020单片机做的多功能计数器,2008山东大学生电子大赛题目。可以实现频率、周期、时间间隔等测量,可以LCD显示、语音报告结果,并能测试温度、显示时间(DS1302)。
开发平台:C/C++ | 大小:139KB | 下载67次
liuscmail 在 2008-10-05 19:17:28 上传
说明:一个简单的计数器程序,用C#写的,功能不是很强,用到了一些委托的概念。
开发平台:Windows_Unix | 大小:45KB | 下载4次
flyduck405628 在 2008-09-17 09:29:15 上传
说明:6位数显频率计数器,proteus的实践例子 既有电路又有keil里的程序 asm or c
开发平台:C/C++ | 大小:247KB | 下载113次
qwtao 在 2008-09-16 09:36:31 上传
说明:本档含详细的电路原理、源程序,AT89C51主控,利用车辆检测器不仅可开发电子警察,还可开发出如:自动显示泊车数的停车场,实时配时的路口信号机系统,自动车库,交通车流量计数器等。
开发平台:Asm | 大小:33KB | 下载153次
lkiwood 在 2008-09-04 12:38:00 上传
说明:8位十六进制频率计设计 根据频率的定义和频率测量的基本原理,测定信号的频率必须有一个脉宽为1s的输入信号脉冲计数允许信号;1s计数结束后,计数值被锁入锁存器,计数器清零,为下一测频计数周期做好准备。测频控制信号可由一个独立的发生器(FTCTRL)来产生。
开发平台:VHDL | 大小:239KB | 下载27次
goldenbird 在 2008-09-03 17:48:41 上传
说明:本程序是用于高速冲床上的送料控制程序,一个较为简单的89C51中断、定时计数器应用程序,已经调试成功,今天为大家出点力,也希望能在以后的学习中能得到大家的帮助。
开发平台:C/C++ | 大小:1KB | 下载10次
dac0832 在 2008-08-28 23:40:21 上传
说明:功能描述: 利用AT89S51单片机的T0、T1的定时计数器功能,来完成对输入的信号进行 频率计数,计数的频率结果通过8位动态数码管显示出来。要求能够对2-40KHZ 的信号频率进行准确计数,计数误差不超过2/1
开发平台:C/C++ | 大小:2KB | 下载47次
xmhj 在 2008-08-12 16:08:39 上传
说明:用2051制的绕线机[5位数+可预置数+减速+可逆计数器
开发平台:Asm | 大小:170KB | 下载71次
xmhj 在 2008-08-12 16:07:27 上传
说明:用单片机制作的高精度计数器,验证通过,适用于计数场合。
开发平台:Asm | 大小:28KB | 下载5次
huronghua666 在 2008-07-24 16:46:24 上传
说明:非常有参考价值的 计数器 源代码,用到了许多的编写程序的技巧,可以借鉴
开发平台:VHDL | 大小:83KB | 下载119次
heheiscool 在 2008-07-17 17:23:19 上传
说明:asp网络应用系统典型模块开发实例解析---一书的光盘 源代码包含如下模块:    登录模块、注册模块、数据库典型模块、计数器模块、bbs系统、网上投票系统、聊天室系统、新闻发布系统、网络搜索引擎以及学生管理系统等。
开发平台:ASP | 大小:1511KB | 下载91次
z3hy 在 2008-07-04 01:50:40 上传
说明:——9999计数器模块 四输出 设计要求频率计为四段显示,故计数器采用0~~9999计数,可以很好的利用数码管,以及增加频率计的精确度。模块内包含俩个进程,一为计数进程,二为时基信号控制计数模块数据输出进程。
开发平台:VHDL | 大小:1KB | 下载36次
chenliqin46 在 2008-07-02 09:54:21 上传
说明:用汇编编写的8253计数器器代码。适用于8086开发环境。里面有原理图哦。供大家参考参考。
开发平台:Asm | 大小:24KB | 下载17次
jackji04 在 2008-06-27 11:50:19 上传
说明:Asp网站访问量计数器,简单实用,有不同的数字图片可选择!
开发平台:ASP | 大小:93KB | 下载563次
p8031 在 2008-06-26 14:49:26 上传
说明:双向计数器,用两个光栅开关检测转向,并且计数,不会由于改变转向使计数值错.
开发平台:Asm | 大小:1KB | 下载19次
sailormoon 在 2008-06-24 18:32:42 上传
说明:利用定时计数器功能,来完成对输入的信号进行频率计数
开发平台:C/C++ | 大小:1KB | 下载28次
xulinabai 在 2008-06-17 13:02:43 上传
说明:1.6个数码管静态显示驱动 2.按键模式选择(时\分\秒)与调整控制 3.用硬件描述语言(或混合原理图)设计时、分、秒计数器模块、按键控制状态机模块、显示译码模块、顶层模块。要求使用实验箱右下角的6个静态数码管(DS8C, DS7C, DS4B, DS3B, DS2B, DS1B)显示时、分、秒;要求模式按键和调整按键信号都取自经过防抖处理后的按键跳线插孔。
开发平台:VHDL | 大小:245KB | 下载11次
江上清风 在 2008-06-09 16:05:13 上传
说明:VHDL编写的四位加法计数器,可以通过QuartusII环境验证
开发平台:VHDL | 大小:345KB | 下载27次
yyqdian 在 2008-06-02 23:36:48 上传
说明:chdl 64位计数器,利用mif格式文件产生正弦波。可以在fpga模拟正弦波
开发平台:VHDL | 大小:262KB | 下载28次
yyqdian 在 2008-06-02 22:46:21 上传
说明:led 跑马灯,8个led灯 采用cnt10位计数器计数跑马
开发平台:VHDL | 大小:161KB | 下载10次
sy1987 在 2008-06-02 09:54:39 上传
说明:四位计数器 计数器是数字系统中用得较多的基本逻辑器件。它不仅能记录输入时钟脉冲的个数,还可以实现分频、定时、产生节拍脉冲和脉冲序列等。例如,计算机中的时序发生器、分频器、指令计数器等都要使用计数器。
开发平台:VHDL | 大小:20KB | 下载29次
kevinchen44071549 在 2008-06-02 04:01:34 上传
说明:计数器的VHDL设计,已经在FPGA上验证
开发平台:VHDL | 大小:1KB | 下载81次