叫我_CCTV 在 2021-04-19 16:51:49 上传
说明:数字示波器,实现ADC采样,波形显示,fft处理数据,频率计作用
开发平台:C/C++ | 大小:3513KB | 下载21次
rsrm 在 2020-12-12 00:04:36 上传
说明:该频率计有分频模块、计数模块、频率计数控制模块、锁存模块、扫描显示控制模块组成
开发平台:VHDL | 大小:8137KB | 下载0次
Vienna——fei 在 2020-10-03 13:05:42 上传
说明:使用xilink7系列FPGA进行频率计的设计,精度为万分之一,最高可测范围为250M
开发平台:Verilog | 大小:37245KB | 下载0次
341622 在 2020-07-23 20:55:15 上传
说明:信号频率计设计,可动态显示输入频率的具体数值
开发平台:Asm | 大小:30KB | 下载0次
ZiZ 在 2020-06-28 00:06:32 上传
说明:基于proteus仿真,用atmega16实现频率计功能
开发平台:C/C++ | 大小:89KB | 下载2次
qwertytreq 在 2020-05-23 19:55:10 上传
说明:数字频率计代码,原理图都在这里自己打开看
开发平台:Proteus | 大小:85KB | 下载2次
扳手 在 2020-05-06 08:14:57 上传
说明:m128捕捉频率计12864显示频率。。。。。。
开发平台:C/C++ | 大小:240KB | 下载2次
闲人_98 在 2020-05-02 18:22:06 上传
说明:T0用来定时,T1用来对1s内外来高电平计数
开发平台:C51 | 大小:71KB | 下载1次
徐伟的爹 在 2020-03-13 20:45:46 上传
说明:电子设计大赛题目,实现一个基本的波形发生器,并实现频率计能狗准确测量
开发平台:Verilog | 大小:17KB | 下载0次
小梅哥fpga 在 2020-03-12 18:14:48 上传
说明:使用Verilog编写的等精度频率计,基于小梅哥AC620 FPGA开发板编写并验证通过
开发平台:Verilog | 大小:2379KB | 下载2次
张纪祥 在 2019-12-19 20:20:28 上传
说明:高精度频率计的制作,6位等精度,C语言程序
开发平台:C/C++ | 大小:21KB | 下载0次
dianfeng1995 在 2019-08-21 09:56:42 上传
说明:频率计又称为频率计数器,是一种专门对被测信号频率进行测量的电子测量仪器。 频率计,verilog代码实现。
开发平台:Verilog | 大小:15809KB | 下载1次
猪能能 在 2019-08-09 16:12:26 上传
说明:实现频率测量,一个是用DSP28335实现测量,一个是用stc89c52实现频率测量。
开发平台:C/C++ | 大小:287KB | 下载2次
哇哈哈啊啊啊 在 2019-08-07 22:38:27 上传
说明:TIM—高级定时器-PWM输入捕获-手动触摸操作量程转换
开发平台:C/C++ | 大小:7988KB | 下载1次
狼面众 在 2019-08-04 16:18:59 上传
说明:32F1串口频率计,1--10M(仪器原因更高未测),串口输出
开发平台:C/C++ | 大小:3869KB | 下载4次
是城还是废墟 在 2019-06-20 12:47:51 上传
说明:设计一简易数字频率计,其基本要求是: 1)测量频率范围0~999999Hz; 2)最大读数999999HZ,闸门信号的采样时间为1s;. 3)被测信号可以是正弦波、三角波和方波; 4)显示方式为6位十进制数显示; 5)具有超过量程报警功能。 5)输入信号最大幅值可扩展。 6)测量误差小于+-0.1%。 7)完成全部设计后,可使用EWB进行仿真,检测试验设计电路的正确性。
开发平台:VHDL | 大小:1596KB | 下载8次
铁心小男仆 在 2019-06-20 09:13:51 上传
说明:设计一个3位十进制数字式频率计啦啦啦啦啦啦啦啦啦啦啦啦
开发平台:Verilog | 大小:294KB | 下载0次
HZW_JM 在 2019-06-09 21:44:46 上传
说明:基于51单片机的频率计,可实现对方波等的频率测量
开发平台:C51 | 大小:59KB | 下载1次
ksksjshdh 在 2019-06-06 14:25:01 上传
说明:频率测试
开发平台:Verilog | 大小:4269KB | 下载0次
HW6 在 2019-06-01 11:26:06 上传
说明:8bits简易频率计 能测试10-10MHz方波;基准时钟1Hz,测量值以8421BCD码形式输出;系统复位
开发平台:Verilog | 大小:1KB | 下载0次
MIZUe 在 2019-05-13 20:39:38 上传
说明:端口滤波筛选,1-5V电压1-1mHz交流电频率检测,lcd1602A输出显示,一个频率计的程序源代码。IAR集成IDE
开发平台:C/C++ | 大小:90KB | 下载0次
夏未 在 2019-05-03 19:21:21 上传
说明:一种智能频率计的设计与制作(AVR)proteus仿真+程序资料
开发平台:C/C++ | 大小:182KB | 下载5次
羊羊驼 在 2019-05-02 23:20:25 上传
说明:设计一个8位数字显示的简易频率计。要求: ①能够测试10Hz~10MHz方波信号; ②电路输入的基准时钟为1Hz,要求测量值以8421BCD码形式输出; ③系统有复位键; ④采用分层次分模块的方法,用Verilog HDL进行设计。 ⑤写出测试仿真程序
开发平台:VHDL | 大小:2964KB | 下载7次
聂联合开发 在 2019-05-02 16:56:35 上传
说明:基于FPGA的频率计实现,Spartan6
开发平台:VHDL | 大小:1349KB | 下载1次
guanyun94 在 2019-04-26 20:21:46 上传
说明:多功能数字频率计,实现多种频率的自动切换和显示
开发平台:C51 | 大小:3331KB | 下载4次
awawf 在 2019-04-20 14:33:20 上传
说明:基于fpga的频率计和相位计的lcd1602显示
开发平台:Verilog | 大小:38800KB | 下载2次