xyz1345 在 2021-04-24 16:49:26 上传
说明:一个4位宽的十进制计数器,用verilog语言实现
开发平台:Verilog | 大小:29KB | 下载0次
一梦三秋 在 2021-04-24 12:46:59 上传
说明:用VHDL实现模8计数器,文件中有程序,电路图等
开发平台:VHDL | 大小:34KB | 下载0次
ifwan 在 2021-01-18 13:44:41 上传
说明:一个通过4*4矩阵键盘输入 lcd1602a 显示 实现加减乘除法 的计数器工程函数
开发平台:C/C++ | 大小:31KB | 下载0次
HJGJKB 在 2021-01-01 15:30:44 上传
说明:使用VHDL语言进行计数器的实现,本人已验证程序的正确性
开发平台:VHDL | 大小:2KB | 下载0次
痞文 在 2020-11-30 15:14:42 上传
说明:数字时钟的设计,目前实现了数码管现0-9的计数
开发平台:VHDL | 大小:324KB | 下载0次
AcaOph 在 2020-11-25 22:44:14 上传
说明:使用Verilog编写的计数器,能够实现计数功能
开发平台:Verilog | 大小:69KB | 下载0次
ds74187elhp523 在 2020-08-02 20:24:13 上传
说明:74ls161计数器的multisim仿真。帮同学做的,顺便拿出来共享,希望对大家有帮助。
开发平台:Multisim | 大小:213KB | 下载3次
imdidi 在 2020-07-17 23:14:32 上传
说明:计数每个键的次数及百分比,通过串口发送USB标准码
开发平台:C/C++ | 大小:39KB | 下载0次
南风知 在 2020-07-13 09:09:08 上传
说明:实现计数功能,可应用于单片机,里面包含keil程序。
开发平台:C/C++ | 大小:52KB | 下载1次
sc303030 在 2020-07-02 16:56:56 上传
说明:交通灯课程设计:本设计是基于数字电路芯片完成的,内有Multisim仿真电路(已验证通过),具体设计说明书,基本思路采用74LS160十进制加法计数器来产生四种交通灯状态,计数器是由多功能计数器555产生的1Hz的秒脉冲驱动,希望能对你有所帮助。
开发平台:Multisim | 大小:460KB | 下载9次
黄mou 在 2020-06-15 16:19:29 上传
说明:利用AT89S51单片机来制作一个手动计数器,在AT89S51单片机的P3.7管脚和P3.0管脚分别各接一个轻触开关,作为手动计数的按钮,其中开关SP1控制个位数,SP2控制十位数。用单片机的P2.0-P2.7接一个共阴数码管,作为00-99计数的个位数显示,用单片机的P0.0-P0.7接一个共阴数码管,作为00-99计数的十位数显示
开发平台:C/C++ | 大小:22KB | 下载0次
巅峰领域 在 2020-06-07 22:09:57 上传
说明:十三进制同步计数器 功能是十三进制的计数,十分方便,电路结构简单
开发平台:Multisim | 大小:156KB | 下载0次
三清 在 2020-05-16 17:36:48 上传
说明:一个十六进制的计数器,以CLK为输入信号进行计数
开发平台:Quartus II | 大小:319KB | 下载0次
三清 在 2020-05-16 17:35:15 上传
说明:一个基于QuartusⅡ编写的verilogHDL的十进制计数器
开发平台:Verilog | 大小:334KB | 下载0次
LY0920 在 2020-04-24 09:25:29 上传
说明:可以实现0~9999秒计数功能的计数器,每隔1s钟计数一次
开发平台:C51 | 大小:15KB | 下载1次
你要的昵称 在 2020-04-08 10:28:29 上传
说明:使用C51进行计数器与定时器仿真,计数器用T1,定时器用T0
开发平台:C/C++ | 大小:38KB | 下载3次
NEVILLE LI 在 2020-04-01 20:17:33 上传
说明:使用xilinx的FPGA实现基本计数器操作
开发平台:Verilog | 大小:44KB | 下载0次
被迫玩小号 在 2020-03-28 10:01:32 上传
说明:4位计数器。加、减、复位、长按累加、长按累减
开发平台:C/C++ | 大小:199KB | 下载0次
光机所小孙 在 2020-03-27 15:59:02 上传
说明:能够改变时钟发送频率,实现时钟分频功能,通过改变其中的数据,实现不同频率的输出
开发平台:VHDL | 大小:41KB | 下载0次
锟斤拷锟斤拷 在 2020-02-09 18:29:40 上传
说明:基于51单片机的电子水弹靶标主程序,打包成一个文件 不含电路
开发平台:C/C++ | 大小:32KB | 下载0次
开光临联 在 2019-11-27 23:16:27 上传
说明:Verilog实现的加减法功能计数器,通过独立的自增自减信号控制计数器进行自增计数和自减计数
开发平台:Verilog | 大小:42KB | 下载1次
12386 在 2019-07-14 12:25:57 上传
说明:使用微控制器精确计数1SControl T0定时器计数器控制LED闪烁
开发平台:C/C++ | 大小:14KB | 下载1次
iewhjdka 在 2019-06-25 16:21:17 上传
说明:利用multisim实现D计数器及JK计数器的仿真
开发平台:Multisim | 大小:276KB | 下载5次
strelizia 在 2019-06-21 18:03:30 上传
说明:10*34进制的计数器,能够实现340个计数,非常的腻害,课设作业。
开发平台:Verilog | 大小:3438KB | 下载0次
是草莓味呀 在 2019-06-15 14:15:41 上传
说明:数码管计数器 vivado verilog实现数码管计数功能
开发平台:Verilog | 大小:916KB | 下载2次
wdtlm 在 2019-06-10 22:06:40 上传
说明:实现了4位计数器的verilog HDL 代码
开发平台:Verilog | 大小:1036KB | 下载0次
zqqSuarez9 在 2019-05-17 16:49:57 上传
说明:verilog100进制计数器,层次结构。语言或图形顶层模块。
开发平台:Verilog | 大小:135KB | 下载1次
sujingjingabc 在 2019-04-28 11:44:39 上传
说明:实现一个件的计数器 具有清零,预加载值 带APB接口
开发平台:Verilog | 大小:5KB | 下载1次
bincker 在 2019-04-25 13:25:47 上传
说明:一个基于LabVIEW编写的计数器小程序,
开发平台:LabView | 大小:33KB | 下载5次