nooru 在 2018-07-07 11:28:11 上传
说明:its a car parking system based on FPGA in Verilog HDl.
开发平台:Verilog | 大小:723KB | 下载0次
Wosen_Wan 在 2018-07-05 16:56:10 上传
说明:乒乓球游戏程序,将8个LED灯等效为乒乓球的轨迹,四个按键各别为左/右发球和接球键,来模拟乒乓球游戏。适合初学者。
开发平台:Verilog | 大小:2276KB | 下载0次
月光色 在 2018-06-25 18:34:07 上传
说明:用verilog实现功能:超声波测距数据采集
开发平台:Verilog | 大小:2566KB | 下载3次
pramodk51 在 2018-06-18 18:55:33 上传
说明:full adder in verilog cpld
开发平台:Verilog | 大小:47KB | 下载0次
pramodk51 在 2018-06-18 18:54:19 上传
说明:d ltach in verilog cpld fpga
开发平台:Verilog | 大小:48KB | 下载0次
pramodk51 在 2018-06-18 18:53:09 上传
说明:verilog bit comparator. fpga cpld.
开发平台:Verilog | 大小:48KB | 下载0次
pramodk51 在 2018-06-18 18:52:05 上传
说明:mux using verilog . fpga cpld
开发平台:Verilog | 大小:95KB | 下载0次
pramodk51 在 2018-06-18 18:48:27 上传
说明:d filpflop in verilog.-- --
开发平台:Verilog | 大小:47KB | 下载0次
小泽小小泽 在 2018-06-17 11:45:24 上传
说明:fpga sdram_ov5640_sobel_vga test
开发平台:Verilog | 大小:18898KB | 下载12次
小泽小小泽 在 2018-06-17 11:42:38 上传
说明:a fpga uart test file
开发平台:Verilog | 大小:11019KB | 下载2次
小泽小小泽 在 2018-06-17 11:37:28 上传
说明:a fpga led test file
开发平台:Verilog | 大小:5704KB | 下载0次
freepoet 在 2018-06-14 11:03:35 上传
说明:DDS生成正弦波和方波,其中正弦波调用rom的ip核进行查表产生波形,方波直接用计数器counter生成。时钟通过PLL ip核进行分频。使用中注意我的是quartus15.1,别的版本打开文件可能要重建IP核,其中ROM对应的.v文件中的数据文件的绝对地址要改,改成你的就行。
开发平台:Verilog | 大小:8456KB | 下载3次
eiheiheih 在 2018-06-12 11:06:45 上传
说明:用Hspice实现一个三输入异或门,并分析其功耗和延时。
开发平台:Spice | 大小:42KB | 下载4次
halftop 在 2018-06-11 20:21:08 上传
说明:AD9833的FPGA驱动程序,一种编写思路,简单易懂,适合初学者。
开发平台:Verilog | 大小:5845KB | 下载43次
halftop 在 2018-06-11 20:14:19 上传
说明:基于ALTERA DE2开发板的数字钟,数码管显示时、分、秒,时间可调,且精确!已通过板上测试。
开发平台:Verilog | 大小:2KB | 下载3次
SHANYUYINGLOU 在 2018-06-09 17:17:48 上传
说明:testbench示例,内含一个状态机,可以读入DAT文件,用作图像处理中数据读入测试。
开发平台:Verilog | 大小:1KB | 下载1次
大大的我请问 在 2018-05-30 10:20:33 上传
说明:基于ise平台的数码管时钟显示例程,用于nexys开发板。
开发平台:VHDL | 大小:124KB | 下载0次
zibowds 在 2018-05-24 11:00:28 上传
说明:verilg入门教材,平时也可做资料查阅
开发平台:Verilog | 大小:254KB | 下载4次
laraib 在 2018-05-20 14:48:55 上传
说明:half adder verilog code
开发平台:Verilog | 大小:1334KB | 下载0次
mdksfjslk 在 2018-05-13 22:07:15 上传
说明:实现了对PWM编码的译码,可以用于红外通讯接收模块,也包括PWM编码的发射模块。
开发平台:Verilog | 大小:1053KB | 下载2次
wulihz 在 2018-05-12 18:00:47 上传
说明:包含8位流水灯、模200的计数器和循环显示0~8的数码管,适合初学使用
开发平台:Quartus II | 大小:11KB | 下载0次
qq123233 在 2018-05-11 00:38:56 上传
说明:Counter, digital display, Verilog programming, has been successfully simulated.
开发平台:Quartus II | 大小:2227KB | 下载0次
lw23456 在 2018-05-04 21:01:17 上传
说明:一个有关自动贩卖机的Verilog编程,里面有代码和详细说明
开发平台:VHDL | 大小:2313KB | 下载3次
小猪仔521 在 2018-04-28 17:49:19 上传
说明:本次的设计主要任务是学会调用锁相环 IP 核,并对其进行仿真, 具体要求如下:(1)熟练掌握调用锁相环 IP 核的详细步骤。将 50M 的时钟分成 20MHz 和 100MHz 两个时钟(2)对锁相环进行仿真,验证 调用的锁相环的正确性。
开发平台:Verilog | 大小:213KB | 下载5次
小猪仔521 在 2018-04-28 17:46:22 上传
说明:流水灯实验,实现四位流水灯功能 本次的设计主要是一个简单流水灯驱动程序,要求流水灯模式 如下:(1)复位时,灯全部熄灭。(2)复位按键放开时,首先点亮 第一个灯,然后第一个 灯熄灭,同时点亮第二个灯;接着,第二个 灯熄灭,同时点亮第三个灯;再然后,第三个灯熄灭,同时点亮第四 个灯;最后,第四个灯熄灭,同时点亮第一个灯;如此循环往复,实 现流水。
开发平台:Verilog | 大小:2517KB | 下载2次
罗君 在 2018-04-26 10:22:36 上传
说明:程序通过采集输入信息,与FPGA的存储值进行比较,如果密码正确,则开锁电路打开;如果密码错误,锁不打开,并且计数器进行+1操作;累计3次输入密码错误,给警报一个高电平,让其报警。
开发平台:Quartus II | 大小:15760KB | 下载3次
三棵树机务段 在 2018-04-25 15:00:11 上传
说明:Verilog的135个经典设计实例,部分摘录如下:【例 9.23】可变模加法/减法计数器【例 11.7】自动售饮料机【例 11.6】“梁祝”乐曲演奏电路【例 11.5】交通灯控制器【例 11.2】4 位数字频率计控制模块【例 11.1】数字跑表【例 9.26】256×16 RAM 块【例 9.27】4 位串并转换器【例 11.8】多功能数字钟【例 11.9】电话计费器程序【例 12.13】CRC 编码【例 12.12】(7,4)循环码纠错译码器【例 12.10】(7,4)线性分组码译码器【例 12.7】11 阶FIR 数字滤波器。。。。。。。
开发平台:Verilog | 大小:164KB | 下载3次
liki20 在 2018-04-21 16:16:50 上传
说明:Complex Numbers are denoted in the form a+ib where a is the real part and b is the imaginary part
开发平台:Verilog | 大小:5KB | 下载1次
liki20 在 2018-04-21 16:15:59 上传
说明:Verilog Program to implement the function f=x+yz and Testbench for all the possible inputs using For Loop
开发平台:Verilog | 大小:5KB | 下载1次
liki20 在 2018-04-21 16:11:49 上传
说明:Mealy machine is a state machine whose output is determined by the current state and the current inputs.
开发平台:Verilog | 大小:6KB | 下载2次