hslxb90 在 2010-06-28 01:07:48 上传
说明:电子琴的代码,可以自己即兴演奏的电子琴,基于vhdl语言实现,音色很不错啊
开发平台:VHDL | 大小:2391KB | 下载10次
liuying050613 在 2010-06-27 10:10:35 上传
说明:VHDL 滴很多实例,例如电子琴,时钟,交通灯等等
开发平台:VHDL | 大小:3KB | 下载10次
cxy584521 在 2010-06-25 14:36:35 上传
说明:微机原理及汇编语言课程设计_简易电子琴,简单实现1-7的音调
开发平台:Others | 大小:134KB | 下载10次
leavin 在 2010-06-24 10:53:10 上传
说明:用户汇编语言编写的完整的电子琴程序源码!
开发平台:Asm | 大小:1KB | 下载3次
liyifanhr 在 2010-06-18 09:19:31 上传
说明:8键电子琴
开发平台:C/C++ | 大小:7KB | 下载11次
luckyboyyt 在 2010-06-17 20:26:14 上传
说明:电子琴加音乐播放功能,电子琴加音乐播放功能,电子琴加音乐播放功能,电子琴加音乐播放功能,
开发平台:VHDL | 大小:485KB | 下载7次
aizhoulinjun 在 2010-06-09 23:12:58 上传
说明:以单片机为核心设计一个电子琴,具有自动播放乐曲和弹奏乐曲的功能。设定开关/按钮K1、K2和K3。开关/按钮K1打开或关闭自动播放乐曲1;开关/按钮K2打开或关闭自动播放乐曲2;开关/按钮K3为手动弹奏乐曲。用21个按键开关来分别控制产生低音“1、2..7”、中音“1、2、..7”和高音“1、2、..7”。
开发平台:Asm | 大小:539KB | 下载23次
sansirocain 在 2010-06-08 11:26:52 上传
说明:电子琴:采用PWM方式控制蜂鸣器,通过按键使其播放相应的音阶,其音长由按键时间长短控制
开发平台:Asm | 大小:1KB | 下载9次
w1372314 在 2010-05-28 21:28:56 上传
说明:设计一个能演奏梁祝的电子琴。要以写入其它的乐曲进行演奏
开发平台:VHDL | 大小:5KB | 下载7次
zbvip 在 2010-05-17 17:22:14 上传
说明:EDA课程设计,Verilog写的电子琴程序,已经联合硬件调试成功。
开发平台:C/C++ | 大小:444KB | 下载46次
yekaipingfangvb 在 2010-05-16 13:06:36 上传
说明:基于单片机的电子琴设计,可播放音乐,在KEIL C环境下运行
开发平台:Visual C++ | 大小:268KB | 下载45次
superhe007 在 2010-05-12 11:50:02 上传
说明:电子琴和倒计时播放音乐,protues实例之一,内含源程序
开发平台:Asm | 大小:31KB | 下载29次
pala2008 在 2010-05-10 11:40:31 上传
说明:汇编写的51单片机电子琴(含原理图)
开发平台:Asm | 大小:154KB | 下载18次
blueskyzcx 在 2010-05-07 14:43:19 上传
说明:实现电子琴的制作,实现电子琴的制作,实现电子琴的制作,实现电子琴的制作,
开发平台:Asm | 大小:340KB | 下载4次
SilenceIsGold 在 2010-04-30 10:09:54 上传
说明:孙卫琴精通HibernateJava对象持久化技术详解电子书,很好的书!
开发平台:Java | 大小:15793KB | 下载3次
vgui 在 2010-04-29 16:34:49 上传
说明:基于PROTEUS的51单片机电子琴的C语言源码
开发平台:C/C++ | 大小:111KB | 下载41次
htqlm 在 2010-04-27 10:42:41 上传
说明:数字电子琴的功能 电子琴的每个音阶均对应一个特定频率的信号,通过调用数字信号发生器产生一系列指定的频率的声音,从而达到虚拟的电子琴的功能,界面中包含A、B、…、O共 15 个琴键,鼠标按下时即发声,松开时发声停止。 同时能够产生正弦波、方波、三角波等常见的波形的数字信号,并且提供了图形界面用于选择波形、频率、幅值与相位。能够根据用户指定的波形和参数产生相应的数字信号,然后将数字信号写入声卡的缓冲区,最后由声卡播放出相应的声音。
开发平台:matlab | 大小:226KB | 下载199次
mapleowl0063 在 2010-04-26 16:48:59 上传
说明:电子琴汇编程序:music 键时可播放音乐 , 此时1——7失效 ,按reset后1——7键弹奏,可发出1,2,3,4,5,6,7的声音用以弹奏
开发平台:DOS | 大小:2KB | 下载15次
yst1571661 在 2010-04-24 23:39:43 上传
说明:实现一个4*4的电子琴,自己制作电子琴,可以兼容me400单片机
开发平台:Asm | 大小:1KB | 下载2次
ro02150400 在 2010-04-23 15:28:25 上传
说明:单片机因其体积小、功能强、价格低廉而得到广泛应用。这个单片机电子琴,利用AT89S52的功能设计电子琴电路,仅仅用AT89L52单片机设计微型电子琴的方法,仅需AT89L52最小系统,扩展一组小键盘(这里以4×4键盘为例,可按需要扩展),再加一片LM386做音频小功放,输出到扬声器。电源可由12V直流电压或者三节5号电池提供。电路简单,元器件少,很容易制作。
开发平台:Asm | 大小:1KB | 下载12次
hao0801 在 2010-04-19 22:52:42 上传
说明:本系统是采用EDA技术设计的一个简易的八音符电子琴和音乐发生器,该系统基于计算机中时钟分频器的原理,采用自顶向下的设计方法来实现,它可以通过按键输入来控制音响。系统由乐曲自动演奏模块、乐器演示模块琴/乐功能选择模块、音调发生模块和数控分频模块五个部分组成。系统实现是用硬件描述语言VHDL按模块化方式进行设计,然后进行编程、时序仿真、整合。本系统功能比较齐全,有一定的使用价值.
开发平台:VHDL | 大小:49KB | 下载179次
cjhxbin 在 2010-04-17 14:35:34 上传
说明:基于51单片机和isd1760语音芯片而实现的电子琴 有键盘控制
开发平台:Others | 大小:83KB | 下载10次
guwanlong 在 2010-04-12 17:19:28 上传
说明:电子琴程序 本程序是用AD9851的DDS模块做的电子琴, 电子琴的音符完整,共21个按键,分别是低1--7,中1--7,高1--7。本程序为双机通信的发送部分,可以显示频率
开发平台:C/C++ | 大小:3KB | 下载5次
jinlangwuqian 在 2010-04-07 17:21:49 上传
说明:本次课程实践是利用keil uvision3软件和ISIS7 professional软件设计一个基于LCP2138芯片的简易电子琴仿真,能够实现16个琴键,具有电子琴功能,能选择和循环播放3首乐曲 ,音量可调这三个基本功能。
开发平台:C/C++ | 大小:142KB | 下载41次
phy 在 2010-04-02 13:34:42 上传
说明:Verilog HDL语言,在FPGA开发板上实现电子琴弹奏的功能
开发平台:VHDL | 大小:438KB | 下载78次
一叶漂 在 2010-04-02 13:10:33 上传
说明:汇编电子琴的源程序 很好的学习的资源 在我的pc机上使用过了 很好用的欢迎你下载 记得评分
开发平台:DOS | 大小:3KB | 下载31次
jaylondon 在 2010-03-31 20:40:12 上传
说明:用VERILOG编写的 电子琴程序 顶层使用图形模块化连接 思路清晰。
开发平台:VHDL | 大小:426KB | 下载77次
ww_terry 在 2010-03-30 17:08:01 上传
说明:本源码讲解了电子琴的设计思想以及源代码的编写方法。
开发平台:VHDL | 大小:870KB | 下载7次
zgghi 在 2010-03-24 22:35:58 上传
说明:基于vhdl的电子琴源程序,基于vhdl的电子琴源程序,基于vhdl的电子琴源程序。
开发平台:VHDL | 大小:420KB | 下载16次
jzhjjh 在 2010-03-24 18:36:11 上传
说明:模拟一款电子琴,可以实现高低阶音符输出,并且能自动播放已储存的音乐
开发平台:VHDL | 大小:739KB | 下载11次