qianqiandeyihao 在 2015-06-03 16:48:43 上传
说明:基于AT89C51的一款电子琴的实现。里面有原理图和程序。
开发平台:Visual Basic | 大小:243KB | 下载1次
lhtbc1 在 2015-06-01 01:38:26 上传
说明:电子琴的原理图,即PCB图等,还附带了单片机C51的程序,能够较好的实现电子琴功能
开发平台:Visual C++ | 大小:27412KB | 下载13次
s8288398 在 2015-05-30 17:31:40 上传
说明:基于msp430单片机电子琴 .通过按键能够发出相应的音符并播放产生乐音,实现演奏; 2.演奏的同时可数字显示当前的音调,利于培养乐感 3.可以选定播放固定乐曲自动演奏,演奏时LED进行节拍指示; 4.在自动演奏和奏乐的过程中按停止键则中断演奏; 5.可以选择调节音或小。
开发平台:Visual C++ | 大小:28109KB | 下载50次
飘远 在 2015-05-23 17:16:57 上传
说明:基于单片机的简易电子琴的制作,有protues硬件仿真
开发平台:IDL | 大小:105KB | 下载3次
summeruuu 在 2015-05-07 19:16:48 上传
说明:使用VHDL语言编写的可编程电子琴,可以实现演奏模式和回放模式
开发平台:VHDL | 大小:176KB | 下载1次
jianliangge 在 2015-05-05 14:06:57 上传
说明:基于单片机C51的电子琴弹奏与演奏功能实现
开发平台:Visual C++ | 大小:53KB | 下载1次
amorcc 在 2015-04-10 13:04:31 上传
说明:51单片机控制蜂鸣器或者扬声器发声,通过8个独立按键模拟电子琴
开发平台:C/C++ | 大小:4KB | 下载4次
woshiyitiaoxiaohe 在 2015-03-31 23:39:19 上传
说明:简易电子琴设计,有程序和原理图,可以参考学习,希望对学者们有些帮助
开发平台:Visual C++ | 大小:211KB | 下载3次
xiangge111 在 2015-03-15 20:41:40 上传
说明:用51系列单片机c语言 编写的电子琴程序,可以实现七个音符的弹奏
开发平台:Visual C++ | 大小:11KB | 下载1次
chuanghushangdeyun 在 2015-03-13 14:10:33 上传
说明:电子琴程序,有原理图,是可以运行出来并且进行实物仿真的
开发平台:C++ | 大小:64KB | 下载3次
雪糕cool 在 2015-03-11 23:51:53 上传
说明:在CCS环境下用C写的TI的msp430f6638的一个电子琴程序,按不同的按键时,改变频率,蜂鸣器发出不同的声音,并且可实现按一个键播放一段乐曲。
开发平台:C/C++ | 大小:154KB | 下载69次
woshiharenwoshihua 在 2015-03-10 10:38:51 上传
说明:通过设计一个模拟电子琴系统熟悉ATmega16单片机的使用及其基本功能。使其能够通过键盘控制实现播放预存音乐,弹奏、储存弹奏音乐,变速播放,暂停及继续播放,停止播放功能。
开发平台:Visual C++ | 大小:2819KB | 下载2次
answerofgod 在 2015-02-24 10:05:37 上传
说明:基于VHDL的简易电子琴游戏,可实现发声,点阵显示,倒数计时,计分等功能
开发平台:VHDL | 大小:1011KB | 下载4次
3773932 在 2015-01-13 15:58:06 上传
说明:这是一个电子琴的编程,可以实现电子琴的编程
开发平台:Visual C++ | 大小:3224KB | 下载2次
xiataozhou 在 2015-01-04 14:44:46 上传
说明:基于MSP430f5529的多功能电子琴设计
开发平台:C/C++ | 大小:211KB | 下载56次
rockboy-lau 在 2014-10-11 11:21:04 上传
说明:420f5438的迷你电子琴程序 可弹奏电子琴音乐
开发平台:C/C++ | 大小:384KB | 下载3次
flv012 在 2014-08-27 14:23:40 上传
说明:基于51单片机的简易电子琴设计项目的程序源代码
开发平台:C/C++ | 大小:36KB | 下载9次
xmusdd 在 2014-08-13 10:53:43 上传
说明:基于MSP430控制的电子琴代码,IAR
开发平台:Visual C++ | 大小:915KB | 下载4次
RongeDaRen 在 2014-07-28 22:58:47 上传
说明:VHDL电子琴,采用vhdl编写,通过蜂鸣器发出7种不同频率的音阶实现简易电子琴功能。
开发平台:VHDL | 大小:194KB | 下载5次
cuoguoshuaiwa 在 2014-07-11 10:10:16 上传
说明:基于Altera公司的开发板DE2--EP2C35F672C6,制作的电子琴,实现do、re、mi、fa、sol、la、xi、do八个音调,并可选择手动或自动播放,其中手动播放可实现存储与回放。并可实现液晶屏对音符的显示。
开发平台:Others | 大小:3070KB | 下载14次
soft_liang 在 2014-07-01 22:46:29 上传
说明:电子琴 有有八个按键分别是哆来咪发索拉西多 还有一个按键是控制一首哥
开发平台:Visual Basic | 大小:34KB | 下载3次
cwqssg 在 2014-06-29 20:32:39 上传
说明:简易电子琴 1、设计并制作一个简易电子琴 2、音节信号发生器能产生8个音节信号
开发平台:VHDL | 大小:608KB | 下载5次
SYY1111 在 2014-06-28 21:04:51 上传
说明:基于52单片机的C语言程序,实现的是电子琴的功能
开发平台:Visual C++ | 大小:104KB | 下载1次
carmackzhang 在 2014-05-24 19:01:48 上传
说明:简易电子琴演奏器的VHDL实现 本实验实现了简易的电子琴演奏,包括自动和手动演奏。 输入为BTN0~BTN6,代表1~7共7个音符。音高可切换低中高音,用两个拨码开关控制:“00”为低音,“10”或“01”为中音,“11”为高音。一个拨码开关切换收动/自动。一个开关控制存储(播放存储)/不存储。一个按键clr复位。 输出为8*8点阵、两个数码管(显示音高和字符)、蜂鸣器。 具体功能: 当切换至手动模式时,根据手动按键播放音乐并显示。此时若存储开关置1,当前播放音符被存储,采样频率10HZ。 当切换置自动模式时,播放系统自身存储的音乐。此时若存储开关置1,播放的是之前手动播放时存储的音乐。若再切换回手动模式,则音乐可以接上回位置继续往下存储。 当clr置1时,系统回到手动播放的等待状态,并将已存储的音乐清除。
开发平台:VHDL | 大小:5068KB | 下载8次
louisewang 在 2014-05-14 15:00:07 上传
说明:硬件演奏电路(电子琴)设计模板 希望对大家有帮助
开发平台:VHDL | 大小:167KB | 下载5次
chenghaicheng 在 2014-05-13 08:26:19 上传
说明:电子琴 ,基于单片机的电子琴。运行程序后可发出音乐。
开发平台:Visual C++ | 大小:20KB | 下载2次
BINHUN 在 2014-05-10 16:22:42 上传
说明:基于FPGA的Verilog语言开发的电子琴测试程序,很好哦
开发平台:VHDL | 大小:1KB | 下载5次
wpudn14 在 2014-05-08 14:59:40 上传
说明:用VB制作模拟电子琴-使用了一个DLL控件:模拟电子琴.dll,有中文讲解方法,比网络上的英文版容易懂。请注意:在创建了自己的电子琴之后DLL自带的电子琴会出现错误。关于编译前不关闭为什么会出错的原因:电子琴只能打开一个,在Windows系统中EXE程序关闭后它所打开的数据会自动销毁,电子琴也会被销毁,,在编译前我们关闭窗口其实系统不把他当做关闭,因为我们的窗口是跟VB6.EXE一起的,我们关闭的只是工程窗口,所以电子琴是不被销毁的!这样我们下次运行时就会产生同时打开2个电子琴的情况
开发平台:Visual Basic | 大小:11KB | 下载2次
dapengzhizun 在 2014-05-07 22:22:17 上传
说明:基于51单片机设计的21音电子琴,电路原理,及51单片机播放歌曲
开发平台:Asm | 大小:43KB | 下载2次
hzkljh 在 2014-04-14 10:33:07 上传
说明:在FPGA平台上Verilog实现简易电子琴功能,可直接用Quartus下载到板上运行。
开发平台:VHDL | 大小:2056KB | 下载9次