wkx89 在 2011-04-07 14:50:24 上传
说明:单片机控制播放音乐,自制单片机电子琴。
开发平台:C/C++ | 大小:13KB | 下载6次
meilanzhuju 在 2011-04-05 09:09:54 上传
说明:电子琴设计 拥有停止 继续 调速等功能 用EDA编写
开发平台:VHDL | 大小:796KB | 下载6次
wujiexuechengxu 在 2011-03-26 18:10:06 上传
说明:基于stc89c52单片机编写的电子琴程序。使用keil 3 以上版本识别。
开发平台:Visual C++ | 大小:51KB | 下载6次
usaff14 在 2011-03-25 16:14:11 上传
说明:利用Verilog语言设计一个简易电子琴
开发平台:VHDL | 大小:1158KB | 下载13次
EatonChou 在 2011-03-23 01:27:00 上传
说明:利用汇编语言设计的简易电子琴 用1~7数字键进行发声
开发平台:Others | 大小:41KB | 下载5次
wwq0071 在 2011-03-21 18:41:55 上传
说明:一个还没完成的电子琴。我会接着做下去的。tmd这个网站真罗嗦
开发平台:Delphi | 大小:176KB | 下载6次
htd 在 2011-03-13 09:07:01 上传
说明:电子琴,播放八个音阶八个音阶可以实现模式的切换
开发平台:Visual C++ | 大小:1KB | 下载2次
zyld1234 在 2011-03-02 19:27:49 上传
说明:VERILOG HDL电子琴设计的源代码
开发平台:VHDL | 大小:376KB | 下载36次
f1990 在 2011-02-23 14:35:50 上传
说明:FPGA实现多功能闹钟,有电子钟、秒表、定时器、电子琴功能
开发平台:VHDL | 大小:1632KB | 下载30次
念愿 在 2011-01-07 13:27:33 上传
说明:PC机上用汇编完成的简单电子琴程序设计,里面包含5个源程序,对微机原理课设很有帮助,大家不妨下载看看,里面还配有安装程序。
开发平台:Asm | 大小:15968KB | 下载47次
蜗牛先爬 在 2010-12-16 10:01:49 上传
说明:在PC机上实现:8253 音乐播放及电子琴模拟。包括内核驱动程序(driver)、模块编译Makefile和用户程序(app).
开发平台:C/C++ | 大小:2KB | 下载32次
wmcwz 在 2010-12-13 21:08:12 上传
说明:通过PS2口连接键盘与FPGA,按动1-7数字键达到虚拟电子琴的效果
开发平台:VHDL | 大小:480KB | 下载22次
ii8844 在 2010-12-11 19:56:46 上传
说明:电子琴,功能简单实用。适合初学者,直接对应目标芯片即可进行仿真。
开发平台:VHDL | 大小:79KB | 下载22次
liuliuchoy 在 2010-12-09 21:14:03 上传
说明:此为简单的9键简易电子琴,只有简单的发音程序,没有音乐
开发平台:matlab | 大小:484KB | 下载9次
我叫大地 在 2010-11-22 16:50:53 上传
说明:蜂鸣器模拟电子琴 蜂鸣器只能发出滴滴响声。本源代码通过控制蜂鸣器的频率配置矩阵键盘实现用蜂鸣器模拟电子琴的功能
开发平台:C/C++ | 大小:1KB | 下载7次
yangping888555 在 2010-11-13 20:12:09 上传
说明:孙卫琴的最新版的java面向对象编程,电子工业出版社的,很经典
开发平台:Java | 大小:29740KB | 下载30次
zengtongyang 在 2010-11-11 10:02:59 上传
说明:主要介绍VHDL下,电子时钟、LCD、LED、电子琴,电梯等开发程序。
开发平台:VHDL | 大小:13553KB | 下载72次
tom! 在 2010-10-30 10:59:59 上传
说明:这是自己写的一个 数字信号发生器 ,里面包含几个界面,包括了 电子琴、电话机按键、信号合成等,可以声卡发生,请参考!
开发平台:matlab | 大小:1539KB | 下载90次
jinehui 在 2010-10-21 15:37:52 上传
说明:51 单片机 电子琴程序 可以按键盘发出8种不同的声音
开发平台:C/C++ | 大小:25KB | 下载15次
winnie8829 在 2010-10-17 15:39:43 上传
说明:Midi键盘模拟器,可在电脑上用键盘模拟音乐的声音,可使电脑像电子琴一样
开发平台:Visual C++ | 大小:8KB | 下载11次
xiaozhiang1987 在 2010-10-09 00:17:57 上传
说明:电子琴 单片机设计实现电子琴功能 使用keil开发
开发平台:C/C++ | 大小:10KB | 下载14次
lzjs647 在 2010-09-22 15:38:50 上传
说明:用STC89C52控制4*4矩阵键盘实现电子琴功能
开发平台:C/C++ | 大小:16KB | 下载7次
asfriend 在 2010-09-08 09:32:54 上传
说明:实现电子琴的功能 可以满足一部分人的设计要求
开发平台:Visual C++ | 大小:3KB | 下载1次
xpskywalker 在 2010-09-06 17:16:42 上传
说明:c51 可演奏的电子琴源程序与仿真,实现电子琴的基本功能
开发平台:C/C++ | 大小:34KB | 下载23次
PANGJIE987 在 2010-09-01 11:10:26 上传
说明:电子琴的基本原理是产生各个音符对应的频率,将频率放大后驱动喇叭发出音响。该电子琴包括手动弹奏与自动演奏两种功能,其中手动弹奏时还可录音回放。文中叙述了电子琴的设计原理和分块实现的方法
开发平台:Visual C++ | 大小:70KB | 下载14次
landshark123 在 2010-08-31 20:33:28 上传
说明:基于ATmega16的电子琴使用程序,由按键控制音符,储存,回放等功能
开发平台:C/C++ | 大小:127KB | 下载21次
destroy2010 在 2010-08-12 17:12:35 上传
说明:使用STC89C52单片机制作的电子琴,可发21个音节,三种不同的音高。
开发平台:C/C++ | 大小:278KB | 下载18次
李欣198501 在 2010-07-28 14:17:26 上传
说明:电子琴,相当漂亮的界面,而且可以弹出声音,多种乐器声效,值得下载~~~
开发平台:matlab | 大小:9KB | 下载42次
zleim00544 在 2010-07-03 15:30:06 上传
说明:基于FPGA的简易电子琴琴键发音,使用语言Verilog。
开发平台:VHDL | 大小:310KB | 下载29次