ERSran 在 2014-04-13 19:07:49 上传
说明:基于51单片机实现电子琴功能(含电路图解析)
开发平台:Visual C++ | 大小:734KB | 下载4次
lesasa 在 2014-03-26 17:23:34 上传
说明:基于PS2的电子琴设计的VHDL语言代码
开发平台:VHDL | 大小:1065KB | 下载4次
梦痕1989 在 2014-03-24 18:15:13 上传
说明:这是一个很经典的关于电子琴的作业,是学习安卓的一个很好的例程,使我们上课的时候老师让我们做的,可以运行的。
开发平台:Others | 大小:1109KB | 下载17次
logichigh 在 2014-03-20 16:37:50 上传
说明:本论文主要基于AT89C52单片机进行的简易电子琴设计。通过LCD显示屏、喇叭、键盘等外部器件,使用按键实现各种音调和音符的输入,LCD显示屏进行被操作的按键显示,用放大电路实现低音频信号的功率放大,最后经过调试用喇叭播放相关音乐。
开发平台:Java | 大小:2283KB | 下载4次
tianweilxue 在 2014-02-22 10:23:37 上传
说明:有关利用DSP设计电子琴的程序,按键控制发出不同音调
开发平台:Visual C++ | 大小:328KB | 下载4次
lavender_Y 在 2014-02-17 19:39:14 上传
说明:简易的电子琴,实现小字组、小字一组以及小字二组的乐音,可读取txt文档乐谱以及其他读写功能。
开发平台:matlab | 大小:24KB | 下载12次
飞翔的蜗牛仔 在 2014-01-11 23:06:23 上传
说明:一个八音符电子琴,用按键输入控制音响,同时可选择自动演奏乐曲,有四首音乐可选。用户可将自己编制的乐曲存入电子琴,演奏时可选择按键输入乐曲或者自己存入的乐曲
开发平台:VHDL | 大小:358KB | 下载3次
虚无缥缈 在 2013-12-25 22:05:11 上传
说明:电子琴,有Protues仿真,C语言编写具有7个音
开发平台:C/C++ | 大小:71KB | 下载3次
sbillt 在 2013-12-25 15:41:58 上传
说明:用VHDL写的,实现触摸屏电子琴的功能,可录音、放音,适用于赛灵思的板子。
开发平台:VHDL | 大小:1037KB | 下载2次
Kinder-Hu 在 2013-12-16 15:53:02 上传
说明:简易电子琴简易电子琴简易电子琴简易电子琴
开发平台:Java | 大小:33KB | 下载4次
songrq 在 2013-11-02 12:33:13 上传
说明:VHDL程序,关于电子琴的自动播放,非常有用
开发平台:VHDL | 大小:2794KB | 下载3次
xiaoxupeng 在 2013-10-23 20:10:14 上传
说明:使用C#语言,在VS2010环境开发,.NET2.0支持的48键电子琴。支持鼠标+键盘同时操作,支持缩放窗口,支持自选MIDI音色。
开发平台:C# | 大小:316KB | 下载12次
megice 在 2013-10-06 11:17:05 上传
说明:使用8051单片机制作的触摸8键电子琴小程序
开发平台:Visual C++ | 大小:10KB | 下载3次
星空幻想 在 2013-09-11 11:43:38 上传
说明: 为本人2012年下学期的EDA大作业,含 设计文档 和 源代码。所设计的系统在网上很难找到(当时我就没找到,特别是源码),二本系统又具有一定的实用性,只要在ROM中存储不同的歌曲编码,即可播放不同的乐曲。 文章详细介绍了“具有自动乐曲演奏功能的电子琴”的FPGA设计原理与方法,使用了ROM存储音符和节拍,矩阵键盘控制整个系统。 源码注释清楚,容易理解。 欢迎访问我的博客:http://blog.csdn.net/enjoyyl
开发平台:VHDL | 大小:4812KB | 下载24次
llyknoo7 在 2013-09-08 21:13:36 上传
说明:1到7的电子琴设计,微机原理课程设计 简单的电子琴 大家参考一下
开发平台:Asm | 大小:99KB | 下载6次
presentlee16 在 2013-08-03 00:49:07 上传
说明:模拟电子琴的VHDL实现,有源码,仿真及分析过程。
开发平台:VHDL | 大小:49KB | 下载2次
wangxr520 在 2013-08-02 14:24:59 上传
说明:基于FPGA的硬件电子琴设计,自己设计属于自己的音乐吧,DIY你的电子琴
开发平台:VHDL | 大小:126KB | 下载7次
xiaosan123456 在 2013-07-26 13:11:08 上传
说明:一个VB实现电子琴的自定义控件,无聊编了一个电子琴的自定义控件,可以实现多种风格的电子琴,而且控件非常好用,放在窗体上就是一个电子琴,附带一个测试用的Demo,有兴趣的下载来玩玩
开发平台:Visual Basic | 大小:29KB | 下载4次
awuge 在 2013-07-13 18:32:10 上传
说明:基于51单片机蜂鸣器原理的模拟电子琴,非电脑模拟
开发平台:C/C++ | 大小:12KB | 下载2次
no_user 在 2013-07-08 11:24:52 上传
说明:用ps/2键盘实现电子琴,利用ram可读出预存的曲子,也可以可写如弹凑的曲子
开发平台:VHDL | 大小:1428KB | 下载2次
wangyue.xiaobu 在 2013-07-01 09:20:32 上传
说明:电子琴 播放几首歌曲 电子琴 播放几首歌曲 电子琴 播放几首歌曲
开发平台:Asm | 大小:1KB | 下载2次
yupudn375795405 在 2013-06-29 10:11:27 上传
说明:android开发的电子琴,程序执行后,有一个带有模拟钢琴键盘的面板,用户可以通过键盘或者鼠标来“敲击”钢琴键盘发出声音,也可以通过电脑键盘的组合键实现钢琴的高音。用户可直接下载到手机上用。
开发平台:Java | 大小:888KB | 下载32次
TBHYYJ 在 2013-06-20 23:43:01 上传
说明:电子琴按键声识别,模拟电子的每个按键不同频率的发音,可以识别电子琴的每个按键。
开发平台:matlab | 大小:23KB | 下载17次
hanhan_001 在 2013-06-06 10:09:42 上传
说明:电子琴c语言程序,实现电子琴的功能,帮助新手学习单片机
开发平台:C/C++ | 大小:1KB | 下载2次
243625784 在 2013-06-05 16:03:21 上传
说明:基于VHDL电子琴的制作,包括源程序 等。。。。
开发平台:VHDL | 大小:183KB | 下载2次
andup 在 2013-05-28 13:30:56 上传
说明:系统应用FPGA技术,通过VHDL编程,在CPLD上实现。电子琴的基本原理是产生各个音符对应的频率,将频率放大后驱动喇叭发出音响。该电子琴包括手动弹奏与自动演奏两种功能,其中手动弹奏时还可录音回放。文中叙述了电子琴的设计原理和分块实现的方法,详细介绍各模块的设计及模块之间的连接组合方法,还包括电子琴
开发平台:Visual C++ | 大小:6KB | 下载3次
bupttxz 在 2013-05-19 22:05:23 上传
说明:电子琴,自动播放,手动播放,录音功能等等
开发平台:VHDL | 大小:1914KB | 下载2次
03652ppy 在 2013-05-13 00:13:31 上传
说明:基于51单片机的电子琴,用按钮当按键来实现,功能还不错。。
开发平台:C/C++ | 大小:17KB | 下载2次
aheng123 在 2013-05-05 12:55:04 上传
说明:运用FPGA编写了一个简易电子琴,按不同的键就可以发出相应的声音,并且可以存储,按下一个键时就可以将存储器中的内容输出 发出相应的音节
开发平台:VHDL | 大小:925KB | 下载7次
yoyosanqueyi 在 2013-05-03 10:32:15 上传
说明:利用FPGA开发平台实现电子琴功能利用FPGA开发平台实现电子琴功能
开发平台:VHDL | 大小:242KB | 下载4次