9lh55bfv 在 2017-10-23 17:30:56 上传
说明:138-电子琴
开发平台:C/C++ | 大小:9KB | 下载4次
蜜蜜米 在 2017-10-23 12:51:57 上传
说明:电子琴,可以发出音乐字符1234567。并且唱歌
开发平台:Visual C++ | 大小:40KB | 下载3次
初心犹在 在 2017-07-31 15:47:03 上传
说明:矩阵按键+一个独立按键,在这里可以按你想要的八个音节,它可以在在蜂鸣器中响出来,它有保存键,可以保存三首,在按音节时,有停顿,有延时,音节按错也可以把这个音节删除,在按过。在哪里按停顿和延时,播放时就可以在哪里停顿和延时。保存三首不要也可以删除再保存过
开发平台:C51 | 大小:34KB | 下载2次
q3 在 2017-07-23 15:10:44 上传
说明:蜂鸣器唱歌 电子琴protues仿真 51音乐盒仿真 基于51的音乐盒设计
开发平台:Visual C++ | 大小:67KB | 下载7次
mapxlb 在 2017-07-18 11:38:50 上传
说明:MIDI口通讯 开发电子琴设备,测试midi数据流 可以查看当前midi设备
开发平台:C++ | 大小:104KB | 下载5次
tyzcxx 在 2017-07-05 16:13:31 上传
说明:模拟电子琴,可以用鼠标点击弹奏,从电脑音箱发出声音。
开发平台:Visual Basic | 大小:8KB | 下载2次
忘却 在 2017-06-26 22:43:42 上传
说明:基于汇编语言对80386微处理进行操作,实现控制扬声器的不同发生频率,达到电子琴的效果。
开发平台:Asm | 大小:2KB | 下载4次
滢狗酱 在 2017-06-12 23:47:02 上传
说明:微机原理与接口技术——简易的电子琴设计,多份设计报告
开发平台:PDF | 大小:3700KB | 下载1次
紫茉莉 在 2017-06-01 16:10:58 上传
说明:简单的电子琴程序,利用51单片机装入该程序即可使用。几首简单儿歌
开发平台:C/C++ | 大小:38KB | 下载1次
西风狂诗曲 在 2016-12-09 10:42:31 上传
说明:简易7音电子琴,熟悉51单片机的定时器的应用
开发平台:C51 | 大小:25KB | 下载3次
虚无缥缈 在 2016-09-17 16:38:05 上传
说明:电子琴,有7个按键实现七个音,同时可以播放歌曲
开发平台:C51 | 大小:143KB | 下载1次
wubinbin5344 在 2016-07-18 03:28:45 上传
说明:基于VS1003的电子琴设计代码,51单片机程序
开发平台:C# | 大小:153KB | 下载4次
linshy 在 2016-06-19 19:07:56 上传
说明:电子琴,有三个音阶,并且能播放/暂停一首储存的歌曲;能在弹奏和播放之间切换
开发平台:C51 | 大小:35KB | 下载3次
chenlingfnagclf 在 2016-06-11 14:12:23 上传
说明:EDA 实验设计 mc8051内核程序以及 电子琴设计程序
开发平台:VHDL | 大小:14841KB | 下载1次
w950i28 在 2016-06-10 13:11:06 上传
说明:宏晶STC51单片机STC89C54RD+电子琴程序演示
开发平台:Visual C++ | 大小:1KB | 下载1次
ycc101318 在 2016-06-02 12:36:46 上传
说明:实现电子琴的模拟,使用C51,C语言,能直接使用
开发平台:C51 | 大小:56KB | 下载4次
godlikesmile 在 2016-05-14 22:53:53 上传
说明:电子琴 可以实现电子琴的基本功能分为高音中音低音等
开发平台:LabView | 大小:361KB | 下载4次
smilearcher 在 2016-05-12 00:21:10 上传
说明:基于51单片机的电子琴,8个按键发出8个基本音,能播放内置音乐,音乐跟随灯光闪烁。
开发平台:C51 | 大小:3KB | 下载3次
gggggclaire 在 2016-04-27 12:38:42 上传
说明:数字电子琴的功能是基于数字信号发生器的,通过调用数字信号发生器产生一系列指定的频率的声音,从而达到虚拟的电子琴的功能,界面中包含1234567共7个琴键,鼠标按下时即发声,松开时发声停止。
开发平台:matlab | 大小:2KB | 下载1次
zy_catherine 在 2016-04-18 17:25:51 上传
说明:基于单片机STC89C52的简易电子琴C程序代码
开发平台:C/C++ | 大小:2KB | 下载3次
xieke1362421 在 2016-03-29 12:23:19 上传
说明:AT89S52单片机控制电子琴播放歌曲,按键切换。1234567中央C调
开发平台:C/C++ | 大小:260KB | 下载5次
在路上hzz 在 2015-12-30 12:39:55 上传
说明:VHDL电子琴 报告 华中科技大学xilinx课赛结合
开发平台:VHDL | 大小:2255KB | 下载1次
人头马一开 在 2015-12-26 21:49:44 上传
说明:51单片机电子琴程序代码,用于设计简单单片机电子琴,测试好用
开发平台:C/C++ | 大小:15KB | 下载2次
sdhi24512 在 2015-12-09 09:33:59 上传
说明:电子琴,一个简易的电子琴,一个建议的电子琴
开发平台:Visual C++ | 大小:2666KB | 下载5次
huangmaryyu 在 2015-12-04 20:08:45 上传
说明:设计一个电子琴,支持手动弹奏、自动演奏、弹奏回放等功能,具体要求如下: 可通过8个音符键产生8个频率(还可扩展),对应8个音符(中音1,2,3,4,5,6,7和高音1),这些频率输出经放大后驱动喇叭,发出声音。当按下手动弹奏键时,按下音符键后就选通相应的频率输出,若同时打开录音开关,可将所奏音乐记录下来,然后在关掉录音开关后,按下回放键可实现演奏音乐回放;按下自动演奏键时,存储器里事先编写好的音符信息被依次取出,去选通各个频率输出,实现自动奏乐。
开发平台:VHDL | 大小:658KB | 下载9次
lhcxgd123 在 2015-11-13 22:02:15 上传
说明:利用VHDL设计电子琴,能够进行7个音符的发声
开发平台:VHDL | 大小:1007KB | 下载6次
龙之舞 在 2015-08-31 15:06:52 上传
说明:51单片机电子琴程序,课程设计作业。纯C语言写的,亲测可用,德飞莱开发板,LCD1602,24C02储存,矩阵键盘。
开发平台:Visual C++ | 大小:50KB | 下载7次
晨旭 在 2015-07-19 12:22:26 上传
说明:51单片机电子琴,可以按键盘弹奏的单片机电子琴
开发平台:C/C++ | 大小:11KB | 下载5次
阿斯达啊 在 2015-07-02 21:06:02 上传
说明:基于89c51单片机的电子琴protues仿真图
开发平台:Asm | 大小:49KB | 下载6次
HQFeric 在 2015-06-19 18:56:29 上传
说明:基于80c51单片机的多功能电子琴代码。可实现led等提示,12864LCD显示操作界面,键盘控制弹奏等功能
开发平台:C/C++ | 大小:8KB | 下载2次