wangwang1980 在 2008-11-13 09:52:27 上传
说明:CPLD在断路器在线监测数据采集系统中的应用研究
开发平台:Others | 大小:36KB | 下载31次
gao126 在 2008-11-13 09:17:47 上传
说明:CPLD/FPGA 入门文档。国内某知名fpga开发商编写的基础教程,共18篇。从使用fpga如何点亮led灯到VGA到8051内核使用方法。如果您是打算学习cpld/fpga,建议先阅读这些文章再选择采购开发板。
开发平台:VHDL | 大小:5380KB | 下载228次
evilyc 在 2008-11-12 09:58:50 上传
说明:mcu与cpld之间spi接口程序,mcu为master,cpld用verilog写成slave模块
开发平台:Others | 大小:108KB | 下载201次
roc2007 在 2008-11-09 11:06:06 上传
说明:FPGA-CPLD在软件无线电中的工程应用,基础知识,课件
开发平台:PPT | 大小:1912KB | 下载70次
allen_lyl 在 2008-11-03 09:40:58 上传
说明:iic总线协议~IIC总线通讯接口器件的CPLD实现,网上下载的资料~~很不错
开发平台:VHDL | 大小:8KB | 下载53次
吉祥思贤 在 2008-10-30 23:11:01 上传
说明:多路选择器 verilog CPLD EPM1270 源代码
开发平台:VHDL | 大小:105KB | 下载42次
吉祥思贤 在 2008-10-30 23:08:46 上传
说明:乘法器 verilog CPLD EPM1270 源代码
开发平台:VHDL | 大小:108KB | 下载52次
lauking 在 2008-10-27 17:35:43 上传
说明:ALTEA EPM7128 CPLD的用于控制三维步进电机的VHDL源代码
开发平台:VHDL | 大小:2KB | 下载61次
mybenevolence1 在 2008-10-22 21:01:27 上传
说明:CPLD MAX7000系列芯片资料,详细,对初学者很有帮助
开发平台:VHDL | 大小:2982KB | 下载28次
xjb 在 2008-10-22 16:20:53 上传
说明:CPLD与8051的总线接口VHDL源码
开发平台:Others | 大小:49KB | 下载25次
xixiloveyou 在 2008-10-10 02:24:40 上传
说明:键盘程序:基于cpld开发环境的4*4键盘程序,很有用哦
开发平台:VHDL | 大小:1KB | 下载54次
lijuguang 在 2008-10-07 20:59:35 上传
说明:FPGA、CPLD芯片的usb数据下载线,下载速度是并口的5位,内有原理图用程序
开发平台:VHDL | 大小:229KB | 下载83次
shmyg 在 2008-10-04 21:44:29 上传
说明:QuartusII简介手册+中文版 本手册针对的读者是 Quartus II 软件的初学者,它概述了可编程逻辑设计中 Quartus II 软件的功能。 不过,本手册并不是 Quartus II 软件的详尽参考手 册。 相反,本手册只是一本指导书,它解释软件的功能以及显示这些功能如 何帮助您进行 FPGA 和 CPLD 设计。
开发平台:VHDL | 大小:3029KB | 下载46次
elight11 在 2008-09-29 17:03:17 上传
说明:使用verilog语言控制CPLD通过红外收发器进行红外通讯,其中simple.qpf为发送端的工程文件,recive文件夹中的recive.qpf是接收端工程文件
开发平台:VHDL | 大小:233KB | 下载28次
管理员 在 2008-09-22 23:13:27 上传
说明:递增方式在4位数码管上向上计数显示从0000-0001->0002……..9999….0000….0001…. -- 利用CPLD设计了一个4位十进制计数器,并用数码管显示当前计数值
开发平台:VHDL | 大小:197KB | 下载113次
borlittle 在 2008-09-19 20:13:09 上传
说明:CPLD程序,ALTERA公司的EPM7128SLC84-10,PLCC84封装,已经调试过的程序,包含仿真文件,波形文件,VHDL语言程序,电路图以及PCB板和系统原理图,非常有用,尤其是初学EDA和CPLD、FPGA器件的人
开发平台:C/C++ | 大小:152KB | 下载263次
chinawei97 在 2008-09-18 15:12:29 上传
说明:FPGA/CPLD数字电路设计经验分享。大唐公司的!
开发平台:PDF | 大小:944KB | 下载20次
benxh 在 2008-09-15 00:08:40 上传
说明:CPLD下载线制作,内含电路图等,希望对大家有帮助
开发平台:VHDL | 大小:178KB | 下载96次
leo2002 在 2008-09-09 14:24:14 上传
说明:使用68013的测试程序,包含68013固件程序(采用slave FIFO bulk同步读写,EP2 OUT,EP6 IN),驱动,PC端测试用程序。CPLD的VHDL代码
开发平台:Visual C++ | 大小:4621KB | 下载204次
chaidong 在 2008-09-05 21:29:49 上传
说明:上传一份cpld 开发工具,Quartus II 中文教程.pdf,供学习参考。
开发平台:Unix_Linux | 大小:872KB | 下载32次
lkiwood 在 2008-09-04 12:34:44 上传
说明:7段数码显示译码器设计7段数码是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD码译码,然而数字系统中的数据处理和运算都是二进制的,所以输出表达都是十六进制的,为了满足十六进制数的译码显示,最方便的方法就是利用译码程序在FPGA/CPLD中来实现。例子作为七段译码器,输出信号LED7S的7位分别接数码管的7个段,高位在左,低位在右。例如当LED7S输出为“1101101”时,数码管的7个段g、f、e、d、c、b、a分别接1、1、0、1、1、0、1;接有高电平的段发亮,于是数码管显示“5”。
开发平台:VHDL | 大小:81KB | 下载26次
wangkj 在 2008-09-04 10:46:17 上传
说明:cpld 控制 8-32M sdram 控制器 maxII epm570实现。 pdf 的说明文件
开发平台:VHDL | 大小:188KB | 下载94次
wangkj 在 2008-09-04 10:45:09 上传
说明:cpld 控制 8-32M sdram 控制器 maxII epm570实现。
开发平台:VHDL | 大小:423KB | 下载142次
蛰伏ly 在 2008-09-02 23:32:50 上传
说明:本人自己制作的JTAG下载线,只用了一片244,可以支持ARM9、Cortex™ -M3、CPLD、FPGA、89S52等。目前这些已经测试通过。性能稳定。但是注意74LS244和74HC244在性能上可能会有些区别
开发平台:Others | 大小:9KB | 下载69次
frank0001 在 2008-08-28 20:37:25 上传
说明:FPGA跑马灯程序,基于CPLD1270开发板的运用程序
开发平台:MultiPlatform | 大小:1KB | 下载12次
warmbupt 在 2008-08-22 23:29:23 上传
说明:本文介绍了一个使用 VHDL 描述计数器的设计、综合、仿真的全过程,作为我这一段 时间自学 FPGA/CPLD 的总结,如果有什么不正确的地方,敬请各位不幸看到这篇文章的 大侠们指正,在此表示感谢。当然,这是一个非常简单的时序逻辑电路实例,主要是详细 描述了一些软件的使用方法。文章中涉及的软件有Synplicity 公司出品的Synplify Pro 7.7.1; Altera 公司出品的 Quartus II 4.2;Mentor Graphics 公司出品的 ModelSim SE 6.0。
开发平台:VHDL | 大小:1900KB | 下载72次
warmbupt 在 2008-08-22 23:27:50 上传
说明:本文使用实例描述了在 FPGA/CPLD 上使用 VHDL 进行分频器设 计,包括偶数分频、非 50%占空比和 50%占空比的奇数分频、半整数 (N+0.5)分频、小数分频、分数分频以及积分分频。所有实现均可 通过 Synplify Pro 或 FPGA 生产厂商的综合器进行综合,形成可使 用的电路,并在 ModelSim 上进行验证。
开发平台:VHDL | 大小:315KB | 下载268次
hero.yin 在 2008-08-20 16:13:32 上传
说明:ATmega128实验板 简要介绍: 主要芯片: CPU:ATmega128L SRAM:SR61L256BS-8 CPLD:XILINX XC95144XL SFLASH:AT45DB081B ETHERNET:CS8900A USB:PDIUSBD12 LCD:122x32 LMC62_095_M POWER:LM2596S-3.3 RS232:MAX3232 软件:RS232,SRAM,CPLD调试通过,uCosII可以运行,ethernet部分没有完成,usb完成了一部分。 开发环境: WINAVR,ISE6,AVR Studio
开发平台:Others | 大小:9704KB | 下载458次
cooldrink 在 2008-08-18 13:43:30 上传
说明:ISE最常用的FPGA、CPLD开发软件教程,对代码的编绎、下载等环节十分有用。
开发平台:Others | 大小:271KB | 下载134次