zmxyg 在 2009-04-10 23:14:55 上传
说明:双串口收发多串口收发,单片机间的通信,实现很多的功能
开发平台:C/C++ | 大小:3KB | 下载82次
ljzhello 在 2009-04-08 11:15:20 上传
说明:用于LPC2468内部SRAM不够时,连接外部SRAM的配置方法
开发平台:C/C++ | 大小:22KB | 下载31次
xiaoer1160 在 2009-04-01 21:04:39 上传
说明:ccs下用来测试davinci板子的led模块的工程
开发平台:C/C++ | 大小:79KB | 下载4次
蛋蛋12 在 2009-04-01 10:55:07 上传
说明:sram 控制器的三种实现方案,来自xinlix工程师之手,不可多得
开发平台:VHDL | 大小:6KB | 下载122次
pandazhongjian 在 2009-03-29 15:18:40 上传
说明:sram读模块基于FPGA的实现 verilog源代码
开发平台:VHDL | 大小:1KB | 下载63次
prashantg85 在 2009-03-10 23:10:55 上传
说明:SRAM implementation source code in VHDL
开发平台:VHDL | 大小:1KB | 下载25次
tudengqian 在 2009-03-10 19:03:40 上传
说明:基于Avalon的SDRAM控制器IP核
开发平台:VHDL | 大小:313KB | 下载55次
lsg99999 在 2009-03-09 17:29:36 上传
说明:LM3S系列ARM用GPIO模拟并行总线扩展32KB SRAM PF0~PF7 D0~D7(数据总线) PA0~PA7 A0~A7(地址总线低8位) PB0~PB7 A8~A15(地址总线高8位) PB7 /CE(片选) PC4 /WE(写使能) PC5 /OE(读使能) 32KB SRAM 映射在地址0x0000~0x4FFF之间 为了加快访问速度,软件上将采用寄存器方式进行操作 PB7原为/TRST功能,现在也解放出来作为地址线A15
开发平台:C/C++ | 大小:117KB | 下载79次
话唠111 在 2009-02-06 11:32:11 上传
说明:LF2407A上的外扩SRAM芯片的调试程序,需要CCS软件开发平台
开发平台:C/C++ | 大小:16KB | 下载5次
mingtsc 在 2008-12-29 13:45:38 上传
说明:有關於EP2C的一些程序(EX:I2C,FLASH,IRDA,MUSIC,LED,LIGHT,SRAM,UART,PS2,SPI )
开发平台:VHDL | 大小:2924KB | 下载86次
wulele000 在 2008-12-25 10:24:33 上传
说明:2407外扩SRAM的读写程序,通过对相应单元进行读写测试器件
开发平台:C/C++ | 大小:16KB | 下载33次
laoxizi 在 2008-12-20 15:10:11 上传
说明:国外网站上面找到的sram_controller,可借鉴性很强。可以扩展数据和地址宽度。
开发平台:Others | 大小:2KB | 下载21次
laoxizi 在 2008-12-20 15:06:29 上传
说明:DE2-SRAM-IP-CORE 需要开发ip core的朋友可以参考哦 ~
开发平台:Others | 大小:1537KB | 下载78次
yhz_2008 在 2008-12-09 11:17:24 上传
说明:使用方法: SRAM编程,拷贝到硬盘,用ISE打开工程文件即可
开发平台:VHDL | 大小:10KB | 下载7次
lin00000 在 2008-12-07 15:36:33 上传
说明:51单片机用c语言实例 包括ad,da,lcd,ICcard,sram,wdt等许多程序
开发平台:C/C++ | 大小:280KB | 下载82次
lin00000 在 2008-12-07 15:35:44 上传
说明:51单片机用汇编实例 包括ad,da,lcd,ICcard,sram,wdt等许多程序
开发平台:Asm | 大小:300KB | 下载20次
yesman 在 2008-12-01 23:22:49 上传
说明:SRAM读写程序,驱动外围静态存储器读写程序,
开发平台:C/C++ | 大小:4KB | 下载56次
happybaby484 在 2008-11-27 11:25:22 上传
说明:Altera cyclone ep1c6对sram idt71系列的读写时序控制
开发平台:VHDL | 大小:380KB | 下载51次
重起 在 2008-11-27 10:32:57 上传
说明:SRAM IDT71V416的VerilogHDL仿真模型源码文件
开发平台:VHDL | 大小:40KB | 下载140次
yangyu123 在 2008-11-20 11:20:51 上传
说明:使用Verilog写的SRAM的控制程序,仅供参考!
开发平台:VHDL | 大小:2KB | 下载142次
njdalu1 在 2008-11-09 19:37:04 上传
说明:F020集成以太网接口核心模块SRAM例程源代码
开发平台:C/C++ | 大小:113KB | 下载9次
ccbendan 在 2008-10-22 12:24:17 上传
说明:AT89C58单片机 外部SRAM测试+LCD显示 C语言
开发平台:C/C++ | 大小:15KB | 下载50次
hnpizibo 在 2008-10-16 22:16:55 上传
说明:OM_Services_test例程可以在片上SRAM、片外SDRAM、片外Flash中运行。 注意:在片外Flash中运行时,请先Download到Flash中.
开发平台:C/C++ | 大小:35KB | 下载6次
Duis 在 2008-10-05 22:27:07 上传
说明:TI28xDSP,SRAM内存数据访问源码文件。
开发平台:MultiPlatform | 大小:6KB | 下载11次
ilove314 在 2008-09-29 20:23:35 上传
说明:SDRAM的vegilog代码,做一个SDRAM的封装成为SRAM一样进行操作。一个顶层文件下由三个模块
开发平台:Others | 大小:701KB | 下载228次
liudeyin1983 在 2008-09-02 13:26:07 上传
说明:IS611v25616在NIOS II 下的驱动
开发平台:VHDL | 大小:11KB | 下载79次
redon 在 2008-08-24 23:18:49 上传
说明:verilog 编写基于SRAM(CY7C1041)的代码
开发平台:VHDL | 大小:868KB | 下载41次
mingly 在 2008-08-22 18:09:36 上传
说明:dsp用于测试外部sram,变量设置到5402外部sram中
开发平台:C/C++ | 大小:47KB | 下载48次