rui110 在 2008-03-26 21:47:48 上传
说明:ZBT(高速同步)SRAM控制器参考设计VHDL代码
开发平台:VHDL | 大小:9KB | 下载177次
rui110 在 2008-03-26 21:09:37 上传
说明:有关到SRAM的VHDL程序,也涉及到USB接口,希望对大家有所帮助
开发平台:VHDL | 大小:2KB | 下载85次
kz02bcxg 在 2008-03-17 23:51:19 上传
说明:This example shows how to use CortexM3 Bit-Band access to perform atomic read-modify-write and read operations on a varaible in SRAM.
开发平台:C/C++ | 大小:180KB | 下载10次
zengzhibing8888 在 2008-03-12 09:18:01 上传
说明:在 2812 开发板上外扩了一片256K * 16位SRAM,其地址映射在ZONE6或ZONE7上,SRAM的测试过程主要是对外部SRAM的 0X100000---0X104000进行写操作,即向0X100000—0X104000中写入0—0X3FFF,然后读出到0X104000---0X107FFF空间,用户可以观察这两个地址空间。
开发平台:C/C++ | 大小:130KB | 下载153次
ccnt_ese 在 2008-02-26 12:54:29 上传
说明:SEED-DaVinci_EVM sram 开发源代码
开发平台:C/C++ | 大小:80KB | 下载11次
yangz0012004 在 2008-01-14 15:31:37 上传
说明:本点阵电子显示屏系统以STC89C52单片机作为控制核心,其外围电路包括存储器扩展模块、LED显示电路模块、驱动电路模块、PC通信电路模块。其中,控制芯片的行驱动用2个74LS138构成4-16译码器,采用1/16扫描方式。列驱动采用74HC595驱动,一个汉字用2个74HC595控制。系统同时扩展了SRAM芯片6116和Flash ROM 29C020芯片, 系统控制过程中,可以满足大容量字模的运算,采用Flash ROM进行汉字掉电保护,通过单片机修改显示内容。并详细介绍了在硬件和软件上的实现过程。 本设计中第二章讲述系统方案的设计与分析;第三章讲述LED点阵显示系统的核心硬件设计,主要包括控制芯片、显示电路和驱动电路的设计等;第四章详细介绍了系统核心软件——静态显示程序的设计过程,之后以左移显示程序为例介绍动态显示程序的设计以及主程序的设计;第五章对PC通信协议及软硬件设计予以详细介绍。 如需详细的硬件电路及说明,可以与作者联系!
开发平台:C/C++ | 大小:5KB | 下载1030次
duanjian205 在 2008-01-14 10:39:27 上传
说明:测试DSP扩展存储器
开发平台:C/C++ | 大小:184KB | 下载2次
sjb 在 2008-01-01 10:54:00 上传
说明:this is a sample about SRAM read/write transmission,it s default installation is D:\RedLogic\RCII_samples, and the software environment is quatrusII 5.0,it is usefull for studying memory.
开发平台:Others | 大小:1181KB | 下载33次
youjia1983 在 2007-12-11 21:56:15 上传
说明:verilog 写的 memory controller ,可以控制SDRAM SRAM NOR
开发平台:Others | 大小:324KB | 下载158次
cobain 在 2007-12-09 09:02:09 上传
说明:一篇讲解ALTERA的FPGA如何实现SDR SRAM的指导文章。很有指导意义。
开发平台:Others | 大小:685KB | 下载97次
zdyzdy 在 2007-11-22 22:56:23 上传
说明:ATmega128实验板。RS232,SRAM,CPLD调试通过,uCosII可以运行,ethernet部分没有完成,usb完成了一部分。 有参考价值。
开发平台:C/C++ | 大小:9750KB | 下载209次
kawing008 在 2007-11-13 13:47:56 上传
说明:The AT91SAM9261S is pin-to-pin compatible with the AT91SAM9261. Memory size available in this product is 16K bytes of SRAM and 32K bytes of ROM
开发平台:Windows_Unix | 大小:493KB | 下载16次
tomnicman198 在 2007-11-08 21:40:12 上传
说明:视频采集控制缓存SRAM读写,对做视频采集有很好的参考。
开发平台:IDL | 大小:8KB | 下载66次
amwamw968 在 2007-10-22 13:26:13 上传
说明:DE2板子附带的SRAM IPCORE 有兴趣的朋友可以下载
开发平台:MultiPlatform | 大小:11KB | 下载86次
shang808 在 2007-10-15 22:49:20 上传
说明:ZBT SRAM控制器参考设计,ZBT SRAM是一种高速同步SRAM)
开发平台:Visual C++ | 大小:7KB | 下载25次
打铁齐 在 2007-10-08 15:19:43 上传
说明:MSP430 F149对外部SRAM(EG:62256)的操作,可以正常读写,验证通过
开发平台:Others | 大小:1KB | 下载38次
管理员 在 2007-09-02 21:26:44 上传
说明:这是一个有关于SRAM(DS1220)的读写程序,是采用C语言编写的,里面还有DS1220的PDF文件,只要掌握了这个程序就可以编写任意其它型号的SRAM程序了,希望对大家有所帮助
开发平台:C/C++ | 大小:191KB | 下载25次
icken 在 2007-08-29 20:01:32 上传
说明:一个比较有参考价值的sram IP核,对SOPC感兴趣的人士有一定的指导意义!该程序是采用avalon总线,可以直接内嵌进SOPC Builder。
开发平台:Others | 大小:5KB | 下载107次
shudao 在 2007-08-28 09:28:15 上传
说明:是一个基于VHDL的SRAM程序,很有代表意义,下下吧
开发平台:Others | 大小:3KB | 下载105次
逆着进化河流 在 2007-08-11 10:21:31 上传
说明:FPGA向SRAM中写入数据,VHDL编程
开发平台:Others | 大小:256KB | 下载138次
逆着进化河流 在 2007-08-11 10:16:43 上传
说明:FPGA将从CY7C68013读到的数写入SRAM
开发平台:Others | 大小:280KB | 下载221次
逆着进化河流 在 2007-08-11 10:14:12 上传
说明:FPGA读SRAM中的数再传给CY7C68013
开发平台:Others | 大小:263KB | 下载306次
wms588 在 2007-08-10 08:52:00 上传
说明:SRAM存储芯片IS61LV25616AL的测试程序,适合于所有相关的SRAM测试,只需修改相关的基地址及偏移量
开发平台:C/C++ | 大小:2KB | 下载467次
tezhong 在 2007-07-30 15:48:58 上传
说明:verilog语言编写的FPGA代码。功能为pc机通过epp不断写数到sram中,然后pc发送中断信号打断写过程读取sram中的数据。rar包中包含epp协议,模块文件和测试文件(test)。
开发平台:Others | 大小:42KB | 下载60次
821443 在 2007-07-25 15:01:30 上传
说明:SRAM Controller For Altera SOPC Builder and NIOS on DE2 kit board
开发平台:Others | 大小:317KB | 下载298次
puradrm 在 2007-07-24 11:11:44 上传
说明:本程序功能是在FPGA上nios处理器的sram接口程序。
开发平台:Others | 大小:1KB | 下载31次
传奇人生 在 2007-06-08 22:07:25 上传
说明:FPGA的SDRAM控制器源程序 FPGA的SDRAM控制器源程序
开发平台:Others | 大小:541KB | 下载80次
Gnos Nil 在 2007-05-28 13:37:36 上传
说明:128KSRAM与8051接口技术.pdf,8051常规情况下只能支持64K外部地址,本文给出了一种128Ksram的设计方案
开发平台:WORD | 大小:135KB | 下载17次
一伴一伴 在 2007-05-11 11:01:51 上传
说明:静态随机读取存储器行为模型,可以应用于modelsim环境的仿真。
开发平台:Others | 大小:2KB | 下载48次