will2223 在 2018-05-30 20:30:38 上传
说明:设计一个多功能数字时钟,有基本的数字时钟、秒表、闹钟三个功能。其中,数字时钟可设置,秒表可以暂停和清零,闹钟可设置,到达设定时间后LED灯亮。
开发平台:VHDL | 大小:3048KB | 下载1次
小皮卡迪 在 2018-05-22 16:46:17 上传
说明:基于51单片机汇编语言编写的秒表程序,实现数码管显示,按键控制开始,暂停,重启操作
开发平台:MDK | 大小:7787KB | 下载0次
一禾火 在 2018-05-19 20:57:26 上传
说明:三道基本FPGA练习题:设计一个时钟;设计一个秒表;设计一个频率计。基于板子和软件
开发平台:Verilog | 大小:2223KB | 下载0次
louisV 在 2018-05-19 12:15:27 上传
说明:99秒表 秒表是一种常用的测时仪器。又可称"机械停表"。由暂停按钮、发条柄头、分针等组成。 记录一个时间:在计时器显示的情况下,按MODE键选择,即可出现秒表功能。按一下START/STOP按钮开始自动计秒,再按一下停止计秒,显示出所计数据。按LAP/RESET键,则自动复零。
开发平台:Quartus II | 大小:24225KB | 下载0次
Rolaren 在 2018-05-18 18:06:36 上传
说明:可实现秒表计时,可由用户自己设定初始时刻,可用单片机实现一般秒表计时的功能。
开发平台:C/C++ | 大小:32KB | 下载0次
长安月半 在 2018-05-15 15:27:13 上传
说明:12s秒表的硬件语言实现,12秒到了后可令指示灯闪烁
开发平台:Verilog | 大小:3KB | 下载0次
顺平侯 在 2018-04-22 14:09:29 上传
说明:输入一个给定的时间,然后按照这个时间进行倒计时
开发平台:C# | 大小:36KB | 下载1次
jarryz 在 2018-03-14 09:03:18 上传
说明:雅思成绩提高.....秒表程序.....
开发平台:WINDOWS | 大小:207KB | 下载3次
王春杰 在 2018-03-07 12:58:20 上传
说明:这个秒表程序很好学,有注释,易懂,容易上手。
开发平台:C/C++ | 大小:10KB | 下载1次
洛兰 在 2018-02-27 17:03:39 上传
说明:实现倒计时,秒表,计时器功能。带有开始/暂停按钮和重置按钮。使用了lable,button,timer以及简单的if判断。
开发平台:C# | 大小:1430KB | 下载2次
小二郎儿 在 2018-02-23 15:37:48 上传
说明:秒表,vga显示,可修改时间,可设置闹钟
开发平台:Verilog | 大小:2649KB | 下载4次
北小松 在 2018-02-02 15:25:20 上传
说明:改程序是用于51单片机实现七段数码端的读秒功能,使用的是keil编译器写的,最后生成hex文件烧录到单片机中
开发平台:C/C++ | 大小:22KB | 下载1次
VoidShooter 在 2018-01-30 22:34:09 上传
说明:利用Verilog实现数字秒表(基本逻辑设计分频器练习) 设置复位开关。当按下复位开关时,秒表清零并做好计时准备。在任何情况下只要按下复位开关,秒表都要无条件地进行复位操作,即使是在计时过程中也要无条件地进行清零操作。 设置启/停开关。当按下启/停开关后,将启动秒表输出,当再按一下启/停开关时,将终止秒表的输出。 采用结构化设计风格描述,即先设计一个10分频电路,再用此电路构建秒表电路。
开发平台:Verilog | 大小:1KB | 下载14次
水盼 在 2018-01-11 15:49:50 上传
说明:基于VHDL语言实现秒表的计时、倒计时的功能。
开发平台:VHDL | 大小:436KB | 下载2次
etcetera 在 2017-12-22 09:42:47 上传
说明:汇编语言编写的C51电子时钟程序,可以显示年月日 ,具有闹钟 秒表功能
开发平台:C51 | 大小:1KB | 下载2次
terriao 在 2017-12-11 16:09:36 上传
说明:用verilog在basys2开发板上实现一个具有置零、开始、暂停、记忆功能的秒表。
开发平台:Vivado | 大小:623KB | 下载14次
mg420 在 2017-12-10 18:57:45 上传
说明:秒表小炸弹 用秒表做一个计时器,时间一到就会爆炸
开发平台:HTML | 大小:134KB | 下载1次
aiwosuoai5015 在 2017-12-08 00:31:06 上传
说明:秒表数码管实现,通过仿真验证,已下载到板子验证
开发平台:Verilog | 大小:594KB | 下载5次
4oq88sca 在 2017-10-23 17:31:06 上传
说明:145-秒表
开发平台:C/C++ | 大小:13KB | 下载1次
伊芙利特之祭 在 2017-10-11 19:14:03 上传
说明:基于51单片机的秒表设计,需要的可以下载
开发平台:C# | 大小:14KB | 下载2次
老魏fg 在 2017-09-22 20:58:46 上传
说明:一个一看就会用的秒表程序,操作简单而且实用。
开发平台:Visual Basic | 大小:4KB | 下载1次
不死的馒头 在 2017-08-21 12:01:22 上传
说明:简易秒表,用stc89c52主控制,数码管显示
开发平台:C/C++ | 大小:25KB | 下载2次
w-- 在 2017-08-12 07:27:44 上传
说明:用数码管实现秒表计时功能,能1秒1秒计数
开发平台:C/C++ | 大小:18KB | 下载1次
水电费阿 在 2017-08-11 16:25:50 上传
说明:程序包含stm32rtc实时时钟的使用以及定时器中断的应用。在tft显示屏上显示出时间,以及秒表,屏幕上有按键可以开始,暂停,归零秒表
开发平台:C/C++ | 大小:4059KB | 下载5次
支岚 在 2017-08-08 08:34:22 上传
说明:单片机控制进行秒表计时,简单易懂,适于新手看
开发平台:C/C++ | 大小:33KB | 下载1次
501628407 在 2017-07-18 10:32:31 上传
说明:一个labview的秒表程序,实现基本的秒表功能
开发平台:LabView | 大小:282KB | 下载3次
猫某某 在 2017-07-03 17:38:34 上传
说明:labview秒表程序,可以定时,可以读秒。
开发平台:WINDOWS | 大小:20KB | 下载2次
白米粥 在 2017-06-12 12:36:19 上传
说明:本秒表计时器用于体育竞赛及各种要求有较精确时的各领域。此计时器是用一块专用的芯片,用VHDL语言描述的。它除开关、时钟和显示功能以外,它还包括1/100s计时器所有的控制和定时功能,其体积小,携带方便。
开发平台:VHDL | 大小:3KB | 下载3次
挑逗的心 在 2017-06-06 16:16:32 上传
说明:秒钟计时功能,适合delphi初学者交流与学习。
开发平台:Delphi | 大小:166KB | 下载1次
左角的泪 在 2017-04-27 17:09:43 上传
说明:秒表系统,利用此系统进行计时,全部为原创
开发平台:C# | 大小:49KB | 下载2次