06glass 在 2010-03-21 14:06:08 上传
说明:mfc秒表,利用mfc技术实现的制作的钟表和秒表
开发平台:Visual C++ | 大小:292KB | 下载31次
sven1123 在 2010-03-06 20:39:11 上传
说明:基于Java ME的手机秒表功能,可以让没有秒表功能的手机有秒表功能
开发平台:Java | 大小:35KB | 下载134次
TVFXQ 在 2010-03-03 22:20:52 上传
说明:000.0~999.9秒电子秒表,一个开关控制开始/暂停
开发平台:Asm | 大小:1KB | 下载7次
caizixian 在 2010-02-20 16:48:17 上传
说明:秒表,简洁轻便,绿色版,在DEV──c++下编译通过。
开发平台:C++ | 大小:1KB | 下载5次
dqgcs 在 2010-02-09 00:44:55 上传
说明:用C语言在单片机上用1602液晶实现一个数字钟,可定时,可当秒表功能
开发平台:C/C++ | 大小:37KB | 下载16次
ducktang429 在 2010-02-05 18:16:21 上传
说明:利用VHDL硬件描述语言实现 一个秒表设计,其中有5个VHDL文件。startwatch为顶层文件
开发平台:VHDL | 大小:3KB | 下载8次
wt12345678 在 2010-01-27 16:44:42 上传
说明:单片机(AT89S52)实现多功能数字钟 该数字钟实现时钟运行,调整,倒计时,秒表功能,且精确度经调试一天的误差在2S内。
开发平台:C/C++ | 大小:155KB | 下载11次
wenpeijun1 在 2010-01-18 09:50:18 上传
说明:51单片机开发的多功能秒表,具有多种应用功能。
开发平台:C/C++ | 大小:45KB | 下载129次
sun698958 在 2010-01-15 20:33:14 上传
说明:秒表 秒表的设计要有三个输入端:runstop,rst和clk.
开发平台:VHDL | 大小:2KB | 下载7次
pmwl 在 2009-12-26 10:49:46 上传
说明:基于verilog-HDL的电子秒表电路,采用quartusII72编译仿真,经下载测试通过。
开发平台:VHDL | 大小:379KB | 下载14次
368794427 在 2009-12-21 12:28:39 上传
说明:利用8253的秒表计时程序,中断的源代码
开发平台:Asm | 大小:2KB | 下载4次
ynejig 在 2009-12-20 17:33:20 上传
说明:电子秒表系统 电子秒表系统 电子秒表系统
开发平台:Delphi | 大小:147KB | 下载11次
bbluefairy 在 2009-12-06 20:02:09 上传
说明:一个简单的电子秒表的系统,可以记时,用matlab实现的
开发平台:matlab | 大小:149KB | 下载10次
jemofh 在 2009-12-05 23:16:04 上传
说明:发个我的第一个VHDL代码,秒表。可暂停继续.清0。
开发平台:VHDL | 大小:572KB | 下载20次
xipan2009 在 2009-12-05 21:38:42 上传
说明:秒表程序,用单片机编程,用数码管实现秒表的功能。
开发平台:C/C++ | 大小:1KB | 下载4次
echolu 在 2009-12-05 19:41:56 上传
说明:采用Verilog HDL语言编写的多功能数字钟,包括四个功能:时间显示与设置、秒表、闹钟、日期显示与设置.
开发平台:VHDL | 大小:3028KB | 下载181次
yuzhu223 在 2009-11-01 09:20:05 上传
说明:秒表计时:窗体上有3个按钮"开始" ,"暂停","结束"
开发平台:C# | 大小:37KB | 下载69次
QHL1134 在 2009-09-14 15:11:35 上传
说明:基于51单片机与lcd12864的简易秒表
开发平台:C/C++ | 大小:1KB | 下载19次
kdkd 在 2009-09-08 16:29:58 上传
说明:电子秒表
开发平台:Asm | 大小:68KB | 下载5次
lyn1989 在 2009-08-25 09:44:43 上传
说明:一个秒表的程序,频率为100HZ,能够随时停止
开发平台:VHDL | 大小:386KB | 下载3次
linhaiqing60 在 2009-08-24 09:38:08 上传
说明:自己做的秒表,程序简单容易看得懂!!!希望对大家有帮助!!
开发平台:VHDL | 大小:593KB | 下载7次
wingwine 在 2009-08-08 20:11:13 上传
说明:用VHDL语言设计一个数字秒表: 1、 秒表的计时范围是0秒~59分59.99秒,显示的最长时间为59分59秒。 2、 计时精度为10MS。 3、 复位开关可以随时使用,按下一次复位开关,计时器清零。 4、 具有开始/停止功能,按一下开关,计时器开始计时,再按一下,停止计时。系统设计分为几大部分,包括控制模块、时基分频模块、计时模块和显示模块等。其中,计时模块有分为六进制和十进制计时器。计时是对标准时钟脉冲计数。计数器由四个十进制计数器和两个六进制计数器构成,其中毫秒位、十毫秒位、秒位和分位用十进制计数器,十秒位和十分位用六进制计数器。计时显示电路时将计时值在LED上七段数码管上显示出来。计时电路产生的计时值经过BCD七段码后,驱动LED数码管。
开发平台:VHDL | 大小:5KB | 下载67次
zuiyejin 在 2009-08-02 09:02:10 上传
说明: 程序名: 简易秒表 功能描述:具有启动、暂停、记录8个历史记录、阅读历史纪录 和记录指示、名次指示、秒表清零等功能 1#键:清零、记录功能 2#键:阅读记录 3#键:启动、暂停功能
开发平台:Asm | 大小:2KB | 下载6次
徽兔子 在 2009-07-20 18:25:12 上传
说明:数码管显示秒表的汇编程序(无按键操作和键盘)
开发平台:Asm | 大小:17KB | 下载2次
gaoshou4310 在 2009-07-20 10:00:25 上传
说明:利用zlg7290制作的秒表,能用按键控制秒表停止、继续、复位!
开发平台:C/C++ | 大小:41KB | 下载7次
xiaoshitouwu 在 2009-07-08 21:58:56 上传
说明:用8255控制键盘和LED显示,用8253定时,设计一个时钟和秒表程序,用LED数码管显示时间值。
开发平台:Asm | 大小:7KB | 下载7次
652335052 在 2009-06-30 20:42:11 上传
说明:单片机开发,温度感应,秒表,串口通信,lcd液晶显示(呵呵,比上次多了点功能)
开发平台:Others | 大小:26KB | 下载83次
qiu_run 在 2009-06-30 18:06:43 上传
说明:设计一个简单的电子秒表(按一下钮启动,再按一个停止,再按一下清零,周而复始。)最小计时单位0.01S,即可显示XX.XX(秒、百分之一秒)。设计要求如下: (1)由键盘和8255作为输入部分 (2)用七段数码管或液晶显示屏来显示时间 (3)由8253和8259构成计时信号,通过中断完成启动计时、停止计时和动态刷新显示。
开发平台:Others | 大小:18KB | 下载17次
honly 在 2009-06-24 13:51:38 上传
说明:Verilog 编写的 秒表程序,在数码管上显示,带有清0和暂停键
开发平台:VHDL | 大小:571KB | 下载343次
cxsys 在 2009-06-20 10:12:32 上传
说明:使用Keil开发的数字秒表,四位LED显示,具有暂停,清零功能,单片机课程设计。内附单片机原理图,程序注释详细,本人亲写。
开发平台:C/C++ | 大小:179KB | 下载83次