winner702 在 2011-11-21 19:21:22 上传
说明:秒表程序,包含分频,微秒,秒,分,位选段选与显示部分
开发平台:VHDL | 大小:563KB | 下载4次
jaker84268 在 2011-11-01 15:25:53 上传
说明:秒表的设计,该程序使用的汇编语言写的,非常有利于初学者的学习。
开发平台:Asm | 大小:2KB | 下载2次
jjsong123456 在 2011-10-09 15:46:08 上传
说明:verilog秒表fpga 4位数码管显示
开发平台:VHDL | 大小:2KB | 下载17次
jingyeliuying 在 2011-09-01 20:52:38 上传
说明:秒表,跟手机上的秒表功能一样,可以开始,暂停,继续,重新计时等
开发平台:C/C++ | 大小:47KB | 下载5次
bigxiong 在 2011-06-23 23:52:05 上传
说明:简单的秒表小程序,提供各种源代码,秒表程序
开发平台:Visual C++ | 大小:68KB | 下载4次
laoziqiniu 在 2011-06-19 10:25:46 上传
说明:多功能数字秒表,可以实现系统复位,暂停,等计时功能,经验证正确
开发平台:VHDL | 大小:259KB | 下载8次
285426369 在 2011-05-29 19:53:31 上传
说明:利用8255,8253,终端实现秒表功能
开发平台:TEXT | 大小:1KB | 下载3次
greedwolf 在 2011-04-17 13:57:59 上传
说明:workbench下的6位电子秒表计数器,实现暂停、继续功能。clock.ms8
开发平台:MultiPlatform | 大小:192KB | 下载5次
shenhaiyu 在 2011-02-24 12:14:29 上传
说明:这是前一遍文章《真正的精确到毫秒级的动态秒表》的改进,改进了前一遍文章只能在VB开发环境中运行,而编译成EXE文件不能运行的错误(一开始计时就崩溃)。同时,增加了高精度计时器的演示。
开发平台:Visual Basic | 大小:16KB | 下载8次
dsf90 在 2011-02-12 09:55:55 上传
说明:单片机秒表制作 使用定时器0实现秒表功能
开发平台:C/C++ | 大小:3KB | 下载4次
li_haozi123 在 2011-01-04 11:18:50 上传
说明:基于Multisim软件设计的数字秒表电路课程设计报告
开发平台:MultiPlatform | 大小:228KB | 下载27次
d100787 在 2010-12-20 10:01:06 上传
说明:汇编语言时钟程序包括秒表功能,多个范例,并有一些其他资料
开发平台:Asm | 大小:52KB | 下载2次
ustcwhc 在 2010-11-27 14:18:56 上传
说明:毫秒级秒表,精确计时,快捷键,有暂停、记录功能
开发平台:C# | 大小:2819KB | 下载83次
yuo 在 2010-10-22 19:02:02 上传
说明:基于单片机的液晶秒表,可通过按键调节秒表的时间
开发平台:C/C++ | 大小:20KB | 下载12次
xinzhongyoufo 在 2010-09-29 14:52:28 上传
说明:时钟秒表程序,采用汇编语言,有时钟和秒表两种功能
开发平台:Others | 大小:3KB | 下载3次
botolfpu 在 2010-09-21 16:50:21 上传
说明:本文件夹包含了16个VHDL 编程实例,仅供读者编程时学习参考。 一、四位可预置75MHz -BCD码(加/减)计数显示器(ADD-SUB)。 二、指示灯循环显示器(LED-CIRCLE) 三、七人表决器vote7 四、格雷码变换器graytobin 五、1位BCD码加法器bcdadder 六、四位全加器adder4 七、英语字母显示电路 alpher 八、74LS160计数器74ls160 九、可变步长加减计数器 multicount 十、可控脉冲发生器pluse 十一、正负脉宽数控调制信号发生器pluse width 十二、序列检测器string 十三、出租车计费器spend 十四、数字秒表selclk 十五、抢答器 first
开发平台:VHDL | 大小:58KB | 下载32次
minaduo 在 2010-09-15 11:25:49 上传
说明:EDA设计报告,实现多功能数字钟功能,在此基础上加上闹钟,秒表
开发平台:MultiPlatform | 大小:394KB | 下载6次
feiyou485 在 2010-09-04 23:51:12 上传
说明:本设计是基于altera公司的ep2s750FPGA芯片的秒表计数器,其中包含六进制计数器和十进制计数器和万分频器等模块。
开发平台:VHDL | 大小:327KB | 下载88次
kuangrenqin 在 2010-07-20 21:32:07 上传
说明:51单片机电子时钟 显示采用1602 可以显示年月日星期 有秒表功能定时功能
开发平台:Visual C++ | 大小:25KB | 下载23次
zwc3082641 在 2010-07-15 10:48:06 上传
说明:电子时钟的实现,实现24小时制的秒表计数
开发平台:Asm | 大小:2KB | 下载1次
funkol2007 在 2010-07-10 23:27:31 上传
说明:秒表程序,可以实现秒表功能,并可以定时。
开发平台:C/C++ | 大小:61KB | 下载5次
busicao85 在 2010-06-15 18:37:44 上传
说明:verilog 秒表程序 用quartus 编写.........................................................
开发平台:VHDL | 大小:421KB | 下载14次
xrdsjb001 在 2010-06-12 21:45:50 上传
说明:本程序使用6个数码管和一个单片机做成的一个倒计时器秒表,实现时 分 秒的实时显示 外加1302作为实时时钟芯片
开发平台:C/C++ | 大小:1KB | 下载31次
少年游祈 在 2010-05-30 12:52:45 上传
说明:程序功能:秒表计时。有按键按下,则秒表开始计时,1暂停,0继续。
开发平台:C/C++ | 大小:22KB | 下载4次
dengyp 在 2010-05-22 21:44:16 上传
说明:假定系统时钟为50MHz,试设计一个电子秒表电路,使其按0.01s 的步长进行计时。该电子秒表具有异步清零和启动/停止计数功能,最大能计到59.99s,并用数码管显示计数值。用发光二极管显示向分钟的进位信号。
开发平台:VHDL | 大小:518KB | 下载9次
zhaozhixu 在 2010-05-14 15:31:19 上传
说明:本程序为简易的时钟秒表程序,初始状态为时间,且时间可通过按键进行调整。 其中,S7为暂停键按下后时间暂停以对其进行调整,S8、S3为秒调整键,实现秒的加减 S6、S3为分调整键,实现分的加减。S5、S1为小时调整键,实现时的加减。 S4为返回键,使调整后的时间继续运行。 S1为秒表与时间切换键,按下后秒表为待跑状态。S2为秒表开始键,S3为秒表暂停键。 在秒表状态下,S1为清零键,S4为返回到时间键。 其中还有另个指示灯,均不亮时为时间状态;亮一个时为时间调整或秒表状态。
开发平台:C/C++ | 大小:3KB | 下载12次
nonethere 在 2010-05-10 11:25:55 上传
说明:电子秒表,FPGA实现,本科某课程设计,程序注释非常详细,
开发平台:VHDL | 大小:496KB | 下载37次
zuichudemengxiang 在 2010-04-15 14:37:24 上传
说明:有一个Time类,包含数据成员minute(分)和sec(秒),模拟秒表,每次走一秒,满60秒进一分钟,此时秒又从0开始算。要求输出分和秒的值
开发平台:Visual C++ | 大小:1KB | 下载33次
Andia 在 2010-04-12 01:04:29 上传
说明:秒表,这是一个用数码管写的秒表,可以跑到9999。希望可以帮助大家。
开发平台:Visual C++ | 大小:1KB | 下载5次
majingyu 在 2010-04-07 18:27:56 上传
说明:用89S52单片机控制在1602LCD上显示秒表。
开发平台:Asm | 大小:21KB | 下载2次