雨墨 在 2016-11-30 10:32:01 上传
说明:FPGA课程实验,基于xinlix实验平台的秒表程序实现,下载到实验板上,测试通过。
开发平台:VHDL | 大小:156KB | 下载1次
liquanwty 在 2016-10-31 15:36:37 上传
说明:1602显示秒表1602显示秒表1602显示秒表
开发平台:Visual C++ | 大小:24KB | 下载5次
ytqytq141 在 2016-09-05 18:59:32 上传
说明:秒表计时功能,数码管显示,可暂停可清零,计数刻度为10ms
开发平台:VHDL | 大小:1KB | 下载3次
linzhizhao 在 2016-08-17 15:33:24 上传
说明:采用vhdl硬件描述语言实现的秒表计时器程序源码及顶层电路设计图,实现了计时器,数码管显示,按键控制及蜂鸣器等功能
开发平台:VHDL | 大小:212KB | 下载2次
380171672 在 2016-08-17 11:48:03 上传
说明:基于51单片机的电子秒表,基于51单片机的电子秒表,基于51单片机的电子秒表,基于51单片机的电子秒表,
开发平台:C/C++ | 大小:15KB | 下载4次
asllllas 在 2016-05-29 13:02:38 上传
说明:此秒表有两个按键(reset, start)按下reset键后,秒表清零,按下start键后,开始计时, 再次按下start键后, 停止计时, 用FPGA开发板上的两个七段数码管显示时间(以秒为单位),计时由0 到 59 循环。 高级要求(可选):实现基本要求的前提下,增加一个按键(select),用于轮流切换两个七段数码管分别显示百分之一秒,秒,分钟。 规格说明: 1.通过按下reset键(异步复位),将秒表清零,准备计时,等检测到start键按下并松开后,开始计时 。如果再次检测到start键按下并松开后,停止计时。通过不断检测start键,来确定秒表是否开始计时 2.在秒表计时时,七段数码管能够循环的由00…59,00…59…。 3.开始默认两个七段数码管显示秒, 在检测到select键按下并松开后,数码管切换到显示分钟,再次检测到select键按下并松开后,数码管切换到显示百分之一秒,当再次检测到select键按下并松开后,数码管切换到重新显示秒。 4.在秒表停止时,数码管依然能够正常切换显示百分之一秒,秒,分钟。
开发平台:VHDL | 大小:2724KB | 下载3次
layfighting 在 2016-04-26 15:26:15 上传
说明:基于matlab平台编写的秒表 具有GUI界面
开发平台:matlab | 大小:2KB | 下载8次
eaou 在 2016-04-22 10:28:06 上传
说明:用硬件描述语言Verilog HDL完成秒表设计模块,使用数码管。
开发平台:VHDL | 大小:1KB | 下载3次
gu631660 在 2016-02-14 20:40:57 上传
说明:真正的动态秒表 真正的动态秒表 真正的动态秒表 真正的动态秒表 真正的动态秒表
开发平台:C++ | 大小:3KB | 下载1次
156019601@qq.com 在 2015-07-04 17:34:10 上传
说明:自己编写基于C#语言的简单功能的秒表,使用方便
开发平台:C# | 大小:43KB | 下载6次
csy62723564 在 2015-03-30 13:41:04 上传
说明:一个具有秒表功能的模块,具有计时、清零、暂停等功能,精度为0.01s
开发平台:VHDL | 大小:7KB | 下载1次
Ampelt 在 2014-05-27 12:59:55 上传
说明:51制作秒表,利用51定时器制作简单的秒表,文件还有源代码工程和仿真图
开发平台:C/C++ | 大小:66KB | 下载5次
sadfdsafsaf 在 2014-04-02 15:24:27 上传
说明:进阶实验_01_秒表:数字秒表,按键+数码管
开发平台:VHDL | 大小:781KB | 下载3次
stefaniekai 在 2013-10-06 00:15:34 上传
说明:电子秒表,利用单片机实现秒表的功能。希望有用
开发平台:Visual C++ | 大小:3KB | 下载1次
uleemos 在 2013-08-22 00:45:09 上传
说明:FPGA verilog 秒表TIMER功能
开发平台:Others | 大小:1KB | 下载5次
yiyingyouyou 在 2013-06-21 10:50:49 上传
说明:基于QUARTUS II上的数电仿真实验,电子秒表
开发平台:MultiPlatform | 大小:1206KB | 下载4次
tingyudexiaoyu 在 2013-05-08 21:23:02 上传
说明:数字秒表用汇编实现效果可见数字秒表实现秒表功能
开发平台:Others | 大小:136KB | 下载2次
4129092 在 2013-03-13 17:09:47 上传
说明:vb语言编写的秒表程序虽然简单,但非常有意思
开发平台:Visual Basic | 大小:4KB | 下载6次
Waltyang 在 2012-12-05 21:34:43 上传
说明:是一个秒表的功能。是一个八位的秒表。实现秒表计数功能。
开发平台:VHDL | 大小:403KB | 下载5次
joan0130 在 2012-09-27 20:16:54 上传
说明:用VHDL实现秒表功能,即使时间为60分钟,实验报告格式,代码在文档最后。仿真软件使用quartus2
开发平台:VHDL | 大小:114KB | 下载6次
litreily 在 2012-08-09 08:58:57 上传
说明:秒表设计,用于在液晶lcd上显示秒表,有计数和暂停功能
开发平台:Visual C++ | 大小:84KB | 下载5次
wslll 在 2012-08-07 16:22:01 上传
说明:实现秒表计时功能。用键盘产生中断控制秒表的开始和停止
开发平台:Visual C++ | 大小:83KB | 下载6次
beyondqyq2009 在 2012-07-20 12:02:10 上传
说明:产生1s中断,从而利用产生的1s中断实现秒表。
开发平台:C/C++ | 大小:1KB | 下载3次
Victor528 在 2012-05-22 12:43:40 上传
说明:秒表代码 电子秒表的设计有汇编语言的程序代码等
开发平台:Asm | 大小:2KB | 下载8次
pec07 在 2012-04-21 16:30:20 上传
说明:课程设计作业,电子秒表,使用8253和8259实现。
开发平台:MultiPlatform | 大小:1KB | 下载3次
Jack Peng 在 2012-01-15 22:01:03 上传
说明:VC秒表,精确到小数点后一位,可以计时。
开发平台:Visual C++ | 大小:192KB | 下载16次
lucky19 在 2012-01-05 11:27:07 上传
说明:秒表设计,设计一个秒表计时器,具有全局清零信号和计数使能信号。
开发平台:VHDL | 大小:1KB | 下载5次
lhfout 在 2011-12-21 23:42:04 上传
说明:秒表 自动计时0--99,此设计经常用于大学课程设计,也经常用做平常的秒表设计
开发平台:WORD | 大小:24KB | 下载5次
pengquanfei 在 2011-12-20 15:48:54 上传
说明:用verilog VHDL描写的秒表程序,可以显示百分秒,秒和分。
开发平台:Others | 大小:372KB | 下载5次
zg875636233 在 2011-11-24 10:55:15 上传
说明:设计 秒表 VHDL 利用分频 计数 显示等模块实现秒表功能
开发平台:VHDL | 大小:7KB | 下载7次