shenwei110 在 2009-06-16 10:28:06 上传
说明:秒表程序 ,这是一个在AT89C51实现秒表程序,程序简练易懂
开发平台:C/C++ | 大小:57KB | 下载2次
rain_fight 在 2009-06-11 18:24:03 上传
说明:秒表程序,很不错的哈,可以使用。。。。。
开发平台:C/C++ | 大小:1KB | 下载1次
zxj0903 在 2009-06-07 12:43:47 上传
说明:使用 DE2板制作的多功能数字钟,含有选择功能,秒表,电子表,闹钟,用7-segment LED液晶显示,可以通过LCD看当时状态 附有仿真波形
开发平台:VHDL | 大小:3608KB | 下载213次
euphony 在 2009-05-31 17:17:37 上传
说明:用VHDL设计实现秒表功能:秒表功能包括开始/暂停键和清零键,精度要达到0.01秒,所以计数显示共有八个数码管,而每个数码管又有八个管脚,因此采用扫描显示的方法,减少管脚数量。时钟脉冲由最低位给入,采用异步方式驱动更高位的计数,时钟频率应该为100Hz,通过数码管显示,共有八个数码管,所以扫描频率应在100Hz的8倍以上。(付按键消抖代码)
开发平台:VHDL | 大小:27KB | 下载22次
ralina 在 2009-05-23 12:31:55 上传
说明:利用Quarteus II 6.0 设计一个秒表,通过7段数码管显示,以及开关控制秒表的启停。
开发平台:VHDL | 大小:196KB | 下载14次
siren20081 在 2009-05-19 10:27:52 上传
说明: 秒表/时钟计数器要求用六位LED数码显示时,分,秒,以24小时计时方式。使用按键开关可实现时分调整,秒表/时钟功能转换,省电等功能。
开发平台:Asm | 大小:116KB | 下载11次
rainmany 在 2009-05-18 12:15:19 上传
说明:实现秒表的功能,从0到60的秒表计时,并具有可调功能,且在硬件上已实现成功。
开发平台:C/C++ | 大小:22KB | 下载1次
mail_lixiang 在 2009-04-29 20:29:20 上传
说明:4位显示秒表实验(用到定时器及其按键控制)
开发平台:C/C++ | 大小:1KB | 下载4次
燕泽西 在 2009-04-16 19:46:19 上传
说明:多功能电子秒表,用于单片机的学习,实现秒表的功能
开发平台:C/C++ | 大小:38KB | 下载13次
taotianduo 在 2009-04-11 16:12:53 上传
说明:VHDL语言设计的秒表,实现计时功能,实现报时功能,并且通过硬件实验。
开发平台:VHDL | 大小:1609KB | 下载148次
sanping 在 2009-04-09 14:32:48 上传
说明:60秒秒表设计,可暂停和分段计数等,所有功能是利用verilog HDL来描述,最后下载到CPLD/FPGA才能运行。
开发平台:VHDL | 大小:326KB | 下载44次
qingsong 在 2009-04-08 23:26:59 上传
说明:秒表计时器,具有记时、暂停记时和归零功能。 特别适合作为Flash对象插入到幻灯片中,在幻灯片放映时作为记时定时之用。
开发平台:FlashMX | 大小:5KB | 下载4273次
jqs88 在 2009-03-31 14:09:15 上传
说明:利用vc++实现的闹钟程序,功能主要有:设定闹铃并播放歌曲;秒表;显示背景等;具体请看中文注释。
开发平台:Visual C++ | 大小:3696KB | 下载7次
shmyg 在 2009-03-29 23:21:30 上传
说明:基于FPGA的秒表设计基于FPGA的秒表设计基于FPGA的秒表设计
开发平台:VHDL | 大小:467KB | 下载10次
gilbertlee 在 2009-03-22 16:53:08 上传
说明:包含若干个VHDL小例子,有交通灯,电子琴,简易秒表,等等,交通灯已经测试过,根据自己的需要,稍微改动,很好用!
开发平台:VHDL | 大小:320KB | 下载70次
scorz 在 2009-03-10 20:59:53 上传
说明:基于8051芯片的电子秒表设计,具有正向计时倒向计时和调时功能
开发平台:Asm | 大小:2KB | 下载8次
fatmouse 在 2009-03-10 16:30:08 上传
说明:断电不丢失秒表,网友共享的,可以方针,好用
开发平台:Asm | 大小:25KB | 下载3次
xxx0033 在 2009-03-10 04:16:11 上传
说明:一个秒表的程序 ,利用了很多东西 ,希望对大家有帮助
开发平台:Asm | 大小:75KB | 下载3次
ftyszyx 在 2009-03-06 18:51:44 上传
说明:51单片机的电子钟 显示时间 年月日 闹钟 整点报时 秒表 硬件原理图 仿真结果都有
开发平台:Asm | 大小:1591KB | 下载488次
dewey 在 2009-03-01 17:12:53 上传
说明:利用fpga实现秒表。秒表有开始停止,清零的功能
开发平台:Others | 大小:2KB | 下载8次
xiehai0203 在 2009-02-22 18:31:47 上传
说明:ATmega128单片机控制的1602液晶显示程序,实现秒表功能,可通过按键设置时间
开发平台:C/C++ | 大小:54KB | 下载47次
net_flysky 在 2009-02-03 16:45:12 上传
说明:c# StressTester 秒表,代码仅供参考。
开发平台:C# | 大小:20KB | 下载14次
fengju2007 在 2009-01-05 15:57:25 上传
说明:自己做的期末单片机程序设计,设计内容——秒表!
开发平台:C/C++ | 大小:189KB | 下载10次
jinzhaotongxin 在 2008-12-30 20:11:50 上传
说明:提供一个数字秒表的EDA设计实例,内故有VHDL源代码,并有运行仿真图。
开发平台:VHDL | 大小:60KB | 下载35次
weihualuck 在 2008-12-30 14:01:33 上传
说明:自己写的秒表采样程序!!!自己写的秒表采样程序
开发平台:Visual C++ | 大小:14KB | 下载1次
livagain 在 2008-12-27 08:21:55 上传
说明:秒表计数,在c51单片机上用键盘控制,启停秒表,用于数码管显示
开发平台:Others | 大小:1KB | 下载41次
xuyan40313 在 2008-12-03 10:11:01 上传
说明:汇编语言,用于编程。可以实现秒表功能,在屏幕上显示。
开发平台:DOS | 大小:1KB | 下载4次
zyf531 在 2008-12-02 22:11:45 上传
说明:一个类似与秒表的到时器!很好玩的一个小作品!
开发平台:Delphi | 大小:167KB | 下载6次
wanghanwz1214 在 2008-11-10 20:52:32 上传
说明:显示99秒的秒表功能 有proteus的图 和源代码
开发平台:Asm | 大小:89KB | 下载63次
carson_xie 在 2008-11-04 06:39:43 上传
说明:秒表可计时,用VHDL编译的源代码,从0.1到60秒计时,解压后直接用Quartus打开project即可
开发平台:VHDL | 大小:564KB | 下载35次