结合模糊 在 2021-04-18 20:56:45 上传
说明:单片机通过按键开始计时,可以清零和暂停,相当于秒表功能,还能通过串口通信将秒数发送,计时规律符合时间
开发平台:C/C++ | 大小:61KB | 下载0次
祁逸飞 在 2021-04-12 16:26:28 上传
说明:此项目(完全自己编写)是基于FPGA的秒表实现,拥有清空数据 分次计时 防次数溢出等完备功能
开发平台:Verilog | 大小:5499KB | 下载0次
noblegui 在 2021-02-04 15:40:36 上传
说明:51+数码管秒表可设定计时时间的程序------------
开发平台:C/C++ | 大小:110KB | 下载1次
milasi 在 2020-12-17 16:11:40 上传
说明:DS1302、秒表、整点报时提示+闹钟+LCD1602显示
开发平台:C/C++ | 大小:59KB | 下载2次
飞鱼飞鱼 在 2020-11-08 22:55:17 上传
说明:两位数码管实现正向加秒,或者反向倒数。代码里中文注释丰富,一看就懂。
开发平台:C++ | 大小:1KB | 下载0次
hamidun123 在 2020-10-14 20:27:20 上传
说明:实现秒表,正计数和减计数以及载入预存值,基于赛灵思官方教程实现
开发平台:Vivado | 大小:10450KB | 下载0次
oneday211 在 2020-06-11 14:10:53 上传
说明:秒表程序,所用库版本3.5 实现的功能:计数,最大计数值300s,最小计数单位0.1s,可暂停,加减。 按键功能:key1控制开始及暂停,key2控制加,key3控制减,key4选择位。 对应的io口,PA0-7接数码管,PB5-8接按键,PB12-14接3-8译码器。 打开project里面文件即可。
开发平台:C/C++ | 大小:3691KB | 下载0次
6612573 在 2020-05-16 18:25:42 上传
说明:基于STM32的应用,主要功能是微智能秒表,在LCD上显示时间
开发平台:C/C++ | 大小:4002KB | 下载1次
c奇妙 在 2020-04-06 20:03:05 上传
说明:基于51单片机完成倒计时的代码,包括循环、数码管显示等,是一个综合性的实验。
开发平台:C/C++ | 大小:20KB | 下载0次
咕咕咕1 在 2020-03-08 10:30:41 上传
说明:用AT89C51设计一个2位的LED数码显示作为“秒表”:显示时间为00—99秒,每秒自动加1,另设计一个“开始”键和一个“复位”键。通过对键盘的扫描对时钟的走时/停止进行控制,项目采用定时器T0作为计时器,每10ms发生一次中断,每100次中断加1s。在此期间,如“开始”按键按下,程序方将TR0置为1,从而开启中断,时钟开始走时;如“复位”按键按下,程序将TR0置为0,同时将存储时间的变量清零,从而中断停止,并实现复位。
开发平台:C/C++ | 大小:3KB | 下载0次
daline 在 2020-01-14 13:03:34 上传
说明:51定时器秒表,使用定时器,小程序,电子秒表设计
开发平台:C/C++ | 大小:14KB | 下载0次
尹诺 在 2019-12-26 11:25:22 上传
说明:秒表计时器,精确到00天、00时、00分、00秒、000毫秒
开发平台:C# | 大小:578KB | 下载2次
TiredBird 在 2019-11-22 16:28:20 上传
说明:Verilog实现的秒表计数功能,仿真通过,代码注释详细
开发平台:Verilog | 大小:3KB | 下载1次
爱哭的麦 在 2019-11-07 22:32:35 上传
说明:利用C#的系统计时器空控件进行计时的秒表。
开发平台:matlab | 大小:4398KB | 下载1次
以威威大大 在 2019-11-04 20:24:04 上传
说明:51的数字秒表程序可以调节的数字秒表 欢迎下载
开发平台:C/C++ | 大小:21KB | 下载1次
劉劉劉 在 2019-08-02 11:19:49 上传
说明:以ISE为开发环境,Verilog语言编写程序。功能:FPGA控制 LCD_1602动态显示秒表
开发平台:Verilog | 大小:249KB | 下载3次
jhonecy 在 2019-06-29 21:39:45 上传
说明:启动程序,在文本框中显示初始秒数, 单击“启动” 按钮,则开始计时,“启动”按钮名称变成“停止”;单击“停止”,停止计时,按钮名称变为“启动”; 单击“复位”,则清零秒数,恢复初始秒数;命令按钮1名称变为“启动” 单击“结束”按钮则程序结束运行。
开发平台:Visual Basic | 大小:5KB | 下载2次
345HYRHR 在 2019-01-09 20:46:44 上传
说明:jQuery秒表在线计时器基于jquery.1.11.3.min.js制作,有重置、计次功能。
开发平台:Java | 大小:47KB | 下载0次
leo123456= 在 2018-12-28 21:16:04 上传
说明:实现计秒的功能,可以暂停,可以复位等其它功能
开发平台:C/C++ | 大小:23KB | 下载0次
Seanyan006 在 2018-12-13 22:31:44 上传
说明:电子秒表,从0计时到60,可复位,清零,暂停等等,做的一个小实验
开发平台:Verilog | 大小:221KB | 下载1次
wwww11fydfy 在 2018-12-04 20:38:08 上传
说明:使用labview实现对LED和秒表的功能,实现计时
开发平台:LabView | 大小:165KB | 下载1次
hon188 在 2018-11-24 11:46:32 上传
说明:一个labview的小程序 基于LABVIEW的一个简单的秒表
开发平台:LabView | 大小:44KB | 下载0次
星-辰 在 2018-10-11 19:54:55 上传
说明:计时秒表,精度为0.01s,可以归零,可以关机记忆
开发平台:C/C++ | 大小:9000KB | 下载0次
wmq163 在 2018-09-22 23:46:28 上传
说明:基于51单片机,实现功能为秒表,prtues仿真
开发平台:C/C++ | 大小:509KB | 下载0次
574920256 在 2018-08-18 19:34:01 上传
说明:89c51单片机秒表,通过数码管显示所记录的时间
开发平台:C/C++ | 大小:28KB | 下载0次
等待许了苍老 在 2018-07-19 21:30:18 上传
说明:51单片机秒表程序,8位数码管程序。适合初学者做参考
开发平台:C/C++ | 大小:26KB | 下载0次
5665099 在 2018-07-07 16:09:58 上传
说明:基于单片机的数码管数字秒表,是c语言程序
开发平台:C/C++ | 大小:19KB | 下载0次
独木可成林 在 2018-06-29 09:58:51 上传
说明:可清零,可复位,可根据需要自行改变分频模块时间计数加快或减慢
开发平台:Verilog | 大小:3953KB | 下载0次
威威谈谈 在 2018-06-28 14:52:51 上传
说明:有源晶振频率:50MHZ 2. 测试计时范围:00’00”00 ~ 59’59”99,显示的最长时间为59分59 秒 3. 数字秒表的计时精度是10ms 4. 显示工作方式: a、用八位数码管显示读数 b、用两个按钮开关(一个按钮使秒表复位,另 一个按钮控制秒表的启动/暂停)
开发平台:Verilog | 大小:7342KB | 下载2次
呷一口阳光 在 2018-06-02 10:26:34 上传
说明:51单片机,精确到00.00秒表代码,定时器中断 精确到00.00秒表
开发平台:Visual C++ | 大小:1KB | 下载1次