wellfell 在 2009-07-12 14:22:39 上传
说明:等精度频率计设计,很好的源代码,附上工程文件,在quartus5.0以上版本即可运行。
开发平台:VHDL | 大小:15343KB | 下载107次
lishuang880913 在 2009-07-12 11:12:25 上传
说明:FPGA的常见小问题锦集,也许困扰你已久的问题答案就在其中~~~
开发平台:VHDL | 大小:41KB | 下载9次
lishuang880913 在 2009-07-12 10:54:37 上传
说明:详细的100个实际应用,适合有一定编程基础的朋友阅读~~~~~~
开发平台:VHDL | 大小:6480KB | 下载7次
13475115012 在 2009-07-11 17:12:13 上传
说明:基于FPGA的VGA工程文件以及相应的参考资料
开发平台:VHDL | 大小:4141KB | 下载123次
桂林Q 在 2009-07-10 22:35:50 上传
说明:数字信号处理FPGA实现 实用程序和文件,有sine.exe ---输入宽度。输出对应的正弦波表 mif文件 csd.exe --- 寻找整数和分数的标准有符号数字量(canonical signed digit ,CSD)表达式程序 fpinv.exe --- 倒数计算浮点数表的程序 dagen.exe ---分布式算法文件生成HDL" onclick="tagshow(event)" class="t_tag">VHDL代码的程序 cic.exe ---CIC滤波器计算参数的程序
开发平台:VHDL | 大小:254KB | 下载84次
wangjian118 在 2009-07-10 16:59:51 上传
说明:fpga利用dds原理,产生正弦波,简单实用,成本低
开发平台:VHDL | 大小:558KB | 下载214次
何思涵 在 2009-07-09 19:58:02 上传
说明:VHDL的经典经验。相当的不错,一个多年开发FPGA的工程师自己的记录,适用于ALTERA,XILINX,LATTICE等FPGA的开发。希望对大家有用。
开发平台:VHDL | 大小:3822KB | 下载151次
L-jay 在 2009-07-09 09:17:08 上传
说明:电子数字日历中的年代码 ,可以记到万年,俗称万年历
开发平台:VHDL | 大小:1KB | 下载14次
Eve123 在 2009-07-08 02:59:37 上传
说明:contain simple examples in VHDL languge
开发平台:PDF | 大小:12KB | 下载1次
lang5312 在 2009-07-07 19:52:19 上传
说明:基于FPGA的PCI接口源代码及Testbench Verilog程序代码
开发平台:VHDL | 大小:457KB | 下载666次
xieliang 在 2009-07-06 22:26:41 上传
说明:一本很好的VHDL教程。。。。。。。。。。。
开发平台:VHDL | 大小:4644KB | 下载392次
nabobess 在 2009-07-06 16:49:46 上传
说明:SPI wishbone master and verification environment
开发平台:VHDL | 大小:2448KB | 下载39次
zengxianghua 在 2009-07-06 10:53:45 上传
说明:VHDL源码 控制液晶的 希望对大家有用
开发平台:VHDL | 大小:2KB | 下载15次
zzl_idea 在 2009-07-05 10:41:13 上传
说明:实现的拨码开关对应显示。由于用乘除法比较费硬件资源,所以输入信号和显示之间用查表对应法来对应的。完成8位拨码开关对用0~255的数位显示。拨码开关从1~8对应个高位到低位,数码管对应显示0到255的数值。拨码开关拨到上面是‘0’,下面是‘1’,可以组合成不同的数据,在数码管上显示对应的数值。
开发平台:VHDL | 大小:29KB | 下载3次
flyingfish001 在 2009-07-04 23:14:32 上传
说明:针对QUARTUS的DMA的VHDL代码实现
开发平台:VHDL | 大小:2KB | 下载79次
nannan09141985 在 2009-07-03 20:24:15 上传
说明:混沌加密应用于实际电路的VHDL语言编写的电路选通程序。
开发平台:VHDL | 大小:1KB | 下载29次
noow 在 2009-07-03 15:47:03 上传
说明:使用Verilog控制美光CMOS图像处理器,并转存到SDRAM中。使用FPGA为QL的带fuse系列
开发平台:VHDL | 大小:36KB | 下载139次
catraitor 在 2009-07-02 21:29:13 上传
说明:RGB -> YUV转换verilog代码
开发平台:VHDL | 大小:12KB | 下载149次
开源就好了 在 2009-07-02 20:06:05 上传
说明:crc32的 vhdl实现源代码,对crc原理有说明
开发平台:VHDL | 大小:2KB | 下载77次
木奔 在 2009-07-01 23:45:39 上传
说明:三角函数硬件实现代码,VHDL代码,供参考学习
开发平台:VHDL | 大小:42KB | 下载25次
zj013 在 2009-06-30 12:02:31 上传
说明:FPGA实现USB通信全套资料,欢迎下载学习.
开发平台:VHDL | 大小:1971KB | 下载67次
ahnushe 在 2009-06-29 21:09:19 上传
说明:提供多种常用的vhdl代码帮助你快速编写程序。
开发平台:VHDL | 大小:66KB | 下载2次
cool869 在 2009-06-29 00:23:18 上传
说明:acces to send the data on the internet
开发平台:VHDL | 大小:1618KB | 下载16次
jamesmorphy 在 2009-06-28 23:21:22 上传
说明:VHDL IP Stack: This IP stack for an FPGA is a complex design because of the number of layers and the complexity of each that is required. It is limited to 10Mb/s operation and is designed for a full duplex switched network. It implements the lower layers of a standard TCP/IP stack. Further implementation is needed to make it work specifically for a certain purpose (eg a web server). There is support to read and write to RAM from the PC via the parallel port as well, for debugging and tests purposes (this maybe easily removed). Note the design only supports IP and ARP frames, other protocols such as RARP and 802.2 frames are not supported.
开发平台:VHDL | 大小:80KB | 下载142次
engls3400 在 2009-06-28 17:51:53 上传
说明:该乘法器是由8位加法器构成的以时序方式设计的8位乘法器。 其乘法原理是:乘法通过逐项移位相加原理来实现,从被乘数的最低位开始,若为1,则乘数左移后与上一次的和相加;若为0,左移后以全零相加,直至被乘数的最高位。
开发平台:VHDL | 大小:101KB | 下载12次
hitjordan 在 2009-06-28 15:44:17 上传
说明:SpaceWire Codec 程序,本软件只是和大家交流学习。仅供学习研究,严禁商用
开发平台:VHDL | 大小:349KB | 下载69次
wangguangyu00 在 2009-06-27 11:21:06 上传
说明:1) 输入设备为4*4矩阵键盘,分别代表0~F; 2) 输出设备为四位数码显示管,初始值显示0000,当按下某一键时,最右边的一位数码显示管显示最新一次所按按键的数值,而之前的显示值左移,例如,第一次按‘1’键,则显示0001;第二次按‘3’键,则显示0013;第三次按‘5’键,则显示0135;第四次按‘7’键,则显示1357;第五次按‘9’键,则显示3579,第四次按‘F’键,则显示579F等等
开发平台:VHDL | 大小:195KB | 下载103次
eng_mido_1987 在 2009-06-26 20:36:04 上传
说明:vhdl book excellent book
开发平台:VHDL | 大小:232KB | 下载5次
wangwanggrave 在 2009-06-26 15:15:17 上传
说明:SDRAM存储器芯片,FPGA的接口控制,VHDL语言编写
开发平台:VHDL | 大小:758KB | 下载94次
wangwanggrave 在 2009-06-26 15:05:17 上传
说明:AD7823的接口源程序,VHDL语言描述
开发平台:VHDL | 大小:12KB | 下载3次