大木瓜 在 2011-11-16 16:57:42 上传
说明:异步 fifo 编写 详细算法描述 ,算法 , 格雷码 防毛刺
开发平台:VHDL | 大小:117KB | 下载13次
weixiawang1988 在 2011-11-08 10:48:16 上传
说明:usb大全,第十八章实现slave FIFO数据传输的上位机程序源代码
开发平台:Visual C++ | 大小:2058KB | 下载39次
大王山 在 2011-10-31 16:02:40 上传
说明:FIFO读写,用使用状态机完成两片FIFO读写,乒乓操作。
开发平台:VHDL | 大小:6KB | 下载221次
岚风秋叶 在 2011-10-22 09:11:14 上传
说明:程序实现了在FPGA内部开辟RAM+FIFO+RAM的IP核进行数据之间的调试。方便需要用到的童鞋进行参考。已通过modelsim调试
开发平台:VHDL | 大小:7994KB | 下载62次
ntbchchj 在 2011-10-04 15:33:33 上传
说明:源码包含三个模块,数据发送模块是读取FIFO中的数据后,将并行数据转换为串行,同时对串行数据进行曼彻斯特编码输出。数据接收模块是对接收的数据进行曼彻斯特解码。FIFO控制器模块将接收的串行数据转换为并行,并存储。 曼彻斯特解码部分本文采用了过采样技术,使用了一个8倍时钟进行采样。每一个数据周期采样8次,每四次采样确定一个状态,如果采样到三次及以上高电平则认为是高状态,否则认为是低状态。状态由高到底则是数据0,由低到高则是状态1。
开发平台:VHDL | 大小:4KB | 下载140次
martin.wang 在 2011-09-26 13:44:43 上传
说明:一个优秀的队列算法, 提高软件的效率, 使用与任何平台,及软件开发。 FIFO
开发平台:Unix_Linux | 大小:3KB | 下载12次
wbnjit 在 2011-09-20 21:50:46 上传
说明:用于RS232串口通信,对需要学习串口通信的有帮助
开发平台:Visual C++ | 大小:312KB | 下载7次
guangnian123 在 2011-09-19 12:25:25 上传
说明:详细介绍了fifo深度计算的方法,fifo深度的计算是面试中常被问到的问题!
开发平台:WORD | 大小:6KB | 下载47次
changhai0709 在 2011-09-10 20:01:33 上传
说明:Verilog HDL实现复杂逻辑设计FIFO
开发平台:VHDL | 大小:1KB | 下载4次
adu5229543 在 2011-08-30 14:21:06 上传
说明:基于fpga的fifo的设计与实现,好东西,希望大家喜欢
开发平台:VHDL | 大小:154KB | 下载9次
chentaian 在 2011-08-20 09:31:20 上传
说明:这是关于异步fifo的学习资料,数字系统设计中经常用到fifo模块,了解fifo原理是学会数字系统设计的基础
开发平台:VHDL | 大小:533KB | 下载7次
xihaniuzai 在 2011-08-10 17:35:31 上传
说明:在FPGA实现一个与外围USB FIFO 通信的FIFO控制核
开发平台:VHDL | 大小:1KB | 下载93次
ymkigeg 在 2011-08-04 19:13:50 上传
说明:FIFO先进先出控制,调Quartus内核
开发平台:VHDL | 大小:3103KB | 下载3次
abort2010 在 2011-07-06 13:42:57 上传
说明:一个简单的同步FIFO程序 一个简单的同步FIFO程序
开发平台:VHDL | 大小:25KB | 下载5次
qiu578 在 2011-07-01 10:41:19 上传
说明:verilog实现fifo,ise中仿真,chipscope调试
开发平台:VHDL | 大小:4815KB | 下载73次
qianyp18 在 2011-06-25 21:05:27 上传
说明:基于FPGA的UART设计,包含接收模块,发送模块,FIFO模块
开发平台:VHDL | 大小:716KB | 下载133次
totoinvent 在 2011-06-23 15:53:26 上传
说明:linux fifo 、fork、msg等示例代码,程序包中包含多个不同版本,供参考学习!
开发平台:C/C++ | 大小:3KB | 下载8次
majingdawei 在 2011-06-20 21:44:14 上传
说明:基于Quartus平台利用SDRAM芯片设计FIFO 使数据能够高速写入 低速读出
开发平台:VHDL | 大小:27KB | 下载42次
sunpei0337115 在 2011-06-19 17:08:55 上传
说明:采用IP生成的同步FIFO代码资料,希望对大家有帮助!
开发平台:VHDL | 大小:724KB | 下载37次
sandeep.adtl 在 2011-06-11 17:16:18 上传
说明:Asynchronous fifo design
开发平台:VHDL | 大小:118KB | 下载4次
街头小虎 在 2011-06-03 22:29:15 上传
说明:FPGA中同步FIFO的使用小结,FPGA中的FIFO,分为同步FIFO,异步FIFO和双向FIFO。同步FIFO一般用于数据的缓存,异步FIFO一般用于跨时钟域的同步上。
开发平台:VHDL | 大小:239KB | 下载33次
wengyedong 在 2011-05-30 18:47:24 上传
说明:STM32 驱动 OV7670 摄像头 FIFO
开发平台:Visual C++ | 大小:1804KB | 下载390次
W123J 在 2011-05-29 00:35:32 上传
说明:FIFO设计的难点在于怎样判断FIFO的空/满状态。为了保证数据正确的写入或读出,而不发生益处或读空的状态出现,必须保证FIFO在满的情况下,不能进行写操作。在空的状态下不能进行读操作。怎样判断FIFO的满/空就成了FIFO设计的核心问题。
开发平台:C++ Builder | 大小:11KB | 下载7次
1115342 在 2011-05-05 23:35:18 上传
说明:基于FPGA的FIFO控制器的设计与实现,ISE,verilog
开发平台:VHDL | 大小:108KB | 下载41次
fengyuliuxin 在 2011-04-12 16:16:50 上传
说明:利用VerilogHDL语言编写的同步FIFO,异步FIFO的编写及其注释
开发平台:VHDL | 大小:5KB | 下载21次
Napoleon1987 在 2011-04-11 15:53:32 上传
说明:基于FPGA的ad和da转换Verilog代码,FPGA采用ep2c5芯片,做成异步fifo,ad芯片采用TI的ths1230,da芯片采用TI的TLV5619,仿真结果基本正确。
开发平台:VHDL | 大小:2246KB | 下载260次
Mr_25 在 2011-04-09 14:28:36 上传
说明:基于FPGA的串口发送源代码,通过FIFO能够发送一段字符串。
开发平台:VHDL | 大小:821KB | 下载92次
wzl900813 在 2011-04-07 18:28:24 上传
说明:异步FIFO是一种先进先出的电路,在异步电路中,由于时钟之间周期和相位完全独立,因而数据丢失概率不为零。如何设计一个高可靠性、高速异步的FIFO是一个难点,本代码介绍了一种解决方法。
开发平台:VHDL | 大小:3KB | 下载20次
zwlove23 在 2011-03-28 14:35:58 上传
说明:运用Verilog 语言对FPGA实现同步的FIFO的数据缓存和传输功能。
开发平台:VHDL | 大小:422KB | 下载18次
GeekBI 在 2011-03-17 17:43:14 上传
说明:用VERILOG 编写 CY7C68013 usb数据采集SLAVE FIFO模式驱动程序 ,已验证过
开发平台:C/C++ | 大小:652KB | 下载294次