elelsec 在 2007-01-03 11:15:52 上传
说明:VHDL设计——FIFO存储器设计
开发平台:Others | 大小:7KB | 下载355次
peter8286 在 2007-01-03 10:45:03 上传
说明:可综合的FIFO存储器,全部在一个压缩包中,测试过,可以使用.
开发平台:Others | 大小:496KB | 下载37次
suiyi 在 2006-12-23 15:06:40 上传
说明:这是FIFO程序,开发工具是ISE或QUartus。
开发平台:Others | 大小:1KB | 下载146次
管理员 在 2006-12-19 14:03:14 上传
说明:verilog实现,串转并通过fifo再并转串,可以满足输入速率自由输出的一半时,输出仍可持续发送
开发平台:Others | 大小:2KB | 下载142次
billdong 在 2006-12-12 23:54:58 上传
说明:PAGES3完成了程序的 基本框架,FIFO()除了命中和置换情况外基本完成,但是修改位示图的子函数 出现问题,得不到正确的行数和列数,有时更改不了0 PAGES4修正了3中的问题,完成FIFO() PAGES5修正了FIFO()中执行置换时未将位示图中的对应块置0 PAGES6完成了LRU() PAGES7优化了界面,修正了切换算法后访问次数与上次算法相连的错误 PAGES8完成了OPT()修正了在页面置换切换时页表内存位示图不能各自初始化的错误。发现OPT()中页面置换时出现的错误。 位示图置0和置1时出错 。而且输出的页表缺失项目 PAGES9好像是修正了 8中的错误,现在还没有找到让9中OPT()发生置换的测试用例 PAGES10找到8,9中出错原因,在于置换时没有得到最久要访问的Memory[i] PAGES11修正10中的错误。完成OPT() 发现了LRU()输出界面的信息冗余,已经更正 PAGES12删除了编程过程中的测试信息 PAGES13修正了判断溢出时的一个错误。将判断的〈=改为〈
开发平台:Visual C++ | 大小:10KB | 下载7次
quans7910 在 2006-12-09 15:44:38 上传
说明:帧同步检测源码,包括同步跟踪模块,fifo,分频模块,还有系统的测试平台
开发平台:Visual Basic | 大小:6KB | 下载489次
高明1974 在 2006-12-07 18:00:11 上传
说明:AMR7 队列驱动。 LPC2138基于ucos的中间件,FIFO发送队列缓冲,信号量同步,用于发送批量数据.
开发平台:C/C++ | 大小:48KB | 下载75次
amy85726 在 2006-12-05 22:45:52 上传
说明:操作系统中的进程调度,进程调度模拟程序:假设有10个进程需要在CPU上执行,分别用:先进先出调度算法;基于优先数的调度算法;最短执行时间调度算法 确定这10个进程在CPU上的执行过程。要求每次进程调度时在屏幕上显示:当前执行进程;就绪队列;等待队列
开发平台:Java | 大小:102KB | 下载123次
christianyao 在 2006-12-01 09:15:06 上传
说明:c做的调度算法程序,包括fifo,有优先级等
开发平台:C/C++ | 大小:1KB | 下载9次
saintdream 在 2006-11-20 13:53:23 上传
说明:fifo的重要文章,非常经典,cummings的两篇再加上一些其他的,读过不后悔
开发平台:Others | 大小:465KB | 下载141次
waynee 在 2006-11-02 22:00:43 上传
说明:实时fifo先进先出队列,为内核线程间通信提供高效而且高可靠性通信机制
开发平台:Unix_Linux | 大小:27KB | 下载7次
jawen 在 2006-10-31 13:31:47 上传
说明:通用存储器VHDL代码库,The Free IP Project VHDL Free-FIFO, Quartus standard library.
开发平台:Others | 大小:23KB | 下载50次
rxxr002 在 2006-10-26 14:20:04 上传
说明:IP核生成器生成 ip 后有两个文件对我们比较有用,假设生成了一个 asyn_fifo 的核,则 asyn_fifo.veo 给出了例化该核方式(或者在 Edit->Language Template->COREGEN 中找到 verilog/VHDL 的例化方式)。asyn_fifo.v 是该核的行为模型,主要调用了 xilinx 行为模型库 的模块,仿真时该文件也要加入工程。
开发平台:Others | 大小:351KB | 下载78次
sjiton 在 2006-10-24 20:28:18 上传
说明:C8051F040 读FIFO数据并且通过串口发送至PC
开发平台:C/C++ | 大小:1KB | 下载79次
WUSEQQ 在 2006-10-20 10:19:56 上传
说明:包括各种类型存储器的VHDL描述,如FIFO,双口RAM等
开发平台:MultiPlatform | 大小:601KB | 下载118次
wk8367 在 2006-10-10 13:51:44 上传
说明:包含两个程序,一个用于读管道,一个用于写管道.主要是练习有名管道的使用方法.
开发平台:Unix_Linux | 大小:1KB | 下载49次
nightyboy 在 2006-09-01 14:06:39 上传
说明:the verilog model of async_fifo.
开发平台:Others | 大小:1KB | 下载38次
yqs6632570 在 2006-08-30 12:54:57 上传
说明:关于FIFO的一些设计资料,共享!欢迎更多的资料共享!
开发平台:Asm | 大小:253KB | 下载217次
望穿秋水 在 2006-08-19 09:36:09 上传
说明:8位相等比较器,比较8位数是否相等 -- 8-bit Identity Comparator -- uses 1993 std VHDL -- download from www.pld.com.cn & www.fpga.com.cn
开发平台:MultiPlatform | 大小:1KB | 下载13次
tangcongfai 在 2006-08-02 18:35:35 上传
说明:51单片机的FIFO(先入先出)循环队列实现
开发平台:C/C++ | 大小:1KB | 下载310次
cn小兵 在 2006-06-29 13:17:07 上传
说明:操作系统的实验题目,首次真正用VC完成的作业,真的很兴奋.另外,帮助做得比较有特色,是直接调用的windows一个系统函数.
开发平台:Visual C++ | 大小:42KB | 下载7次
daiowen 在 2006-06-23 09:06:30 上传
说明:这是从opencores下的fifo代码,包括了异步和同步的,还有写的testbench,希望对大家有用.
开发平台:MultiPlatform | 大小:20KB | 下载473次
dragonflier 在 2006-06-17 10:36:51 上传
说明:用vhdl编写的fifo队列.可以在maxplus2平台上使用.
开发平台:Asm | 大小:302KB | 下载31次
fly100 在 2006-06-12 10:18:27 上传
说明:本程序包括求First集和Follow集的VC++原程序,已经编译过可以直接使用。
开发平台:Visual C++ | 大小:30KB | 下载57次
ewq123 在 2006-06-07 16:49:47 上传
说明:使用Microsoft Visual C++ 6.0编写最佳(Optimal)淘汰算法、先进先出(FIFO)淘汰算法、最近最久未使用(LRU)淘汰算法的源代码
开发平台:Visual C++ | 大小:3KB | 下载21次
cui850777 在 2006-05-30 09:15:47 上传
说明:这就是fifo算法,中文就是先进先出算法,,大家可以看看,不过很简单,,有部分实现的并不太好,,希望大家指点
开发平台:Visual C++ | 大小:82KB | 下载12次
Lingre 在 2006-05-22 20:24:30 上传
说明:68013 Usb2.0芯片Fifo驱动驱动程序源代码
开发平台:C/C++ | 大小:84KB | 下载223次
IProud 在 2006-05-18 15:30:31 上传
说明:此程序在51上实现,很多个项目都用到,调试通过,做串口的FIFO很合适......
开发平台:C/C++ | 大小:1KB | 下载123次
lanwj 在 2006-04-24 22:24:25 上传
说明:基于deque实现的队列模板,可用作各种数据类型的先进先出缓冲。
开发平台:Visual C++ | 大小:2KB | 下载165次